微机模数转换接口设计.ppt

  1. 1、本文档共41页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
微机模数转换接口设计重点讲义

在选通输入方式下,返回线的状态在CNTL/STB线上脉冲的上升沿传送到先进先出栈。 (5)FIFO/传感器RAM和状态 它是一个88RAM,具有两种功能。在键盘或选通输入方式下,它是先进先出栈。每个新登记项写入相继的RAM位置,读出顺序与送入次序相同。FIFO状态记录着FIFO中的字数,监视它是否已满或已空。 若读(或写)次数过多,则会出错。令 =1, , 即可读出此状态。当FIFO不空时,状态逻辑将提供1个IRQ信号。在扫描传感器矩阵方式下,此存贮器是传感器RAM。在传感器矩阵中,一行传感器状态将加载到传感器RAM中相应行。在此方式下,若检测到一个传感器有变化,IRQ就升为高电平。 (6)显示地址寄存器和显示RAM 显示地址寄存器中的地址是CPU正在读或写的地址,或者是正在显示的两个4位组的地址。读/写地址由CPU编程。它也能设定成每次读或写后自动增量方式。在设定了正确方式和地址之后,显示RAM的内容可用CPU直接读出。A组和B组的地址由8279自动修改,以便与CPU送入或送出数据的操作相匹配。 按照CPU所设定的方式,A组和B组可以独立访问也可以作为一个字访问。数据进入显示器可以设定为从左面进入或从右面进入。 五、 实验程序 Z8279 EQU 212H ;8279命令口地址212H D8279 EQU 210H ; 8279数据口地址210H LEDMOD EQU 00 ; 键盘、显示器工作模式设置命令 LEDFEQ EQU 38H ;扫描频率设置命令 CODE SEGMENT ASSUME CS:CODE,DS:code START: push cs pop ds call delay MOV DX,Z8279 ; 8279命令口地址送DX MOV AL,LEDMOD ; 键盘、显示器工作模式设置命令送AL OUT DX,AL ;设置八字符显示,左端输入 MOV AL,LEDFEQ ;扫描频率设置命令送AL OUT DX,AL ;设置分频系数为24 MOV CX,06H XZ: MOV DX,D8279 ; 8279数据口地址送DX寄存器 MOV AL,00H OUT DX,AL LOOP XZ MOV DX,D8279 ;8279数据口地址送DX MOV AL,5eH ;d的段码送AL寄存器 OUT DX,AL ;AL送数据口 MOV DX,D8279 MOV AL,77H ;A 的段码送AL寄存器 OUT DX,AL ;以上为写(AD ) NOP bg: mov dx,208h ;ADC0809零通道地址送dx mov al,0 ;零送al out dx,al ;起动A/D转换 call delay ;调延时子程序 微机原理与接口技术 * 微机模数转换接口设计 一、实验要求 编程用查询方式采样电位器输入电压,并将采样到的结果实时地通过8279显示在数码管上。(只须显示一位即可。用0~F表示0~+5V电压)。 二、实验目的 1.掌握A/D芯片AD0809的转换性能及编程方法。 2.学习A/D芯片与其他芯片(如8279)接口的方法,初步建立系统的概念。 三、实验电路及连线 CS79接译码处210~217这个插孔。A/D的CS插译码处208~20F这个插孔,0809的IN0 接至电位器W1的中心抽头插孔。 注意:电位器W1两边的两个插孔已经分别接好电源+5V和地,请不要再接,以防接 错,出现短路。 四、实验原理 1﹑ADC0809A/D转换器内部结构 ADC0809由8路模拟开关,地址锁存与译码器、比较器、256电阻阶梯、树状开关、逐次逼近式寄存器SAR、控制电路和三态输出锁存器等组成,如图1所示。 2﹑8路模拟开关及地址锁存与译码器 8路模拟开关用于输入IN0-IN7上部路模拟电压, 地址锁存与译码器在ALE信号控制下 可

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档