基于VHDL的直流电机的调速系统.doc

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于VHDL的直流电机的调速系统

北华大学 电子系统综合实习报告 ——直流电机数字调速系统设计 学 院: 电气信息工程学院 专 业: 通信工程 班 级: 通信14-2 姓 名: 秦帝 学 号: 20 指导教师: 董 胜 山传文 实习日期: 2015.9.5—9.16 目 录 目录 一.实习题目 …………………………………………………………………… 3 二.实习目的 …………………………………………………………………… 3 三.实习技术要求 1、 VHDL简介 …………………………………………………………… 3 2 、QuartusII简介 ……………………………………………………… 4 四.实现原理 ………………………………………………………………… 4 五.模块设计 1、8进制计器18进制计数器字显示控制模块 直流电机数字调速系统设计 要求:(1)实现直流电机的数字调速系统整体设计。 (2)流电机的选型及驱动电路设计、光电编码器接口设计。 (3)编写软件实现直流电机的数字调速PID控制功能。 二、实习目的: 1)硬件实训目的: 1.熟悉EDA实验箱的基本工作原理。 2.熟悉并掌握EDA实验箱各个模式的功能。 3.提高学生的动手能力。 2)软件实训目的: 1. 熟悉并掌握quartus软件的使用。 2. 熟练的使用原理图输入设计方法,VHDL语言编写程序,进一步了解和掌握各个程序语言,提高编程的熟练程度。 3. 掌握基本器件设计的方法思想,如计数器;掌握点阵LED显示字的基本原理。 4. 拓宽学生知识面,增强工程意识,培养学生的分析和解决实际问题的能力。 5. 提高学生的动手能历。 VHDL简介 介于目前,电子系统正向集成化、大规模和高速度的方向发展,传统的门级描述方法显得过于琐碎,难以掌控。 VHDL(Very?High?Speed?Integrated?Circuit?Hardware?Description?Language)是美国国防部与1983年发起创建,并在1987年作为“IEEE标准1067”发布,它是一种全方位的硬件描述语言通常适用于系统行为级和寄存器传输级(RTL)的描述,整个自顶向下或自底向上的电路计。 过程都可以用VHDL来完成。它允许设计者用它来进行数字逻辑系统的仿真验证、时序分析、逻辑综合,它是目前应用最为广泛的一种硬件描述语言。使用VHDL进行自顶向下的设计,即为使用VHDL模型在所有的综合级别上对硬件设计进行说明、建模以及仿真测试。此外,VHDL还有如下优点:由于其宽泛的描述能力,VHDL成为高层设计的核心,设计人员只需花较少的精力在物理实现上而把工作重心转移到系统功能的实现和调试上;VHDL是一种标准语言,其可移植性好,而且其设计不依赖特定的器件,工艺转换方便;VHDL代码简洁明确,使用起来灵活方便且易于结果的交流、保留和重用。由于能够进行编程、除错、再编程和重复操作,可以充分地进行设计开发和验证,以便适用于不同情形的需要,延长了其产品再市场地的寿命。 2、 QuartusII简介 本次设计是基于Altera公司的QuartusII软件。Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 QuartusII支持Altera的IP核,包含了LPM/MegaFuction宏功能模块库,使用它,可以简化设计的复杂性,加快设计速度。QuartusII平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。此外,QuartusII 通过和DSP Builder工具与Matlab/SIMULINK相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统开发,集系统级设计、嵌入式软件设计、可编程逻辑设计于一体,是一种综性的开发平台。 四、实验原理: 一台直流电机原则上既可以作为电动机运行,也可以作为发电机运行,这种原理在电机理论中称为可逆转原理。当原动机驱动电枢绕组在主磁极N、S之间旋转时,电枢绕组上感生出电动势,经电刷、换向器装置整流为直流后,引向外部负载(或电网),对外供电,此时电机做直流发电机运行。如用外部直流电源,经电刷换向器装置将直流电流引向电枢绕组,则此电流与主磁极N、S产生的磁场互相作用,产生转矩,驱动转子与连接于其上的机械负载工作,此时电机做直流电动机运行。 直流电机控制电路主要由三部

文档评论(0)

ayangjiayu4 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档