3-1应用QuartusII完成基本组合电路设计.doc

3-1应用QuartusII完成基本组合电路设计.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
3-1应用QuartusII完成基本组合电路设计

3-1. 应用QuartusII完成基本组合电路设计 示例程序和实验指导课件位置:\EDA_BOOK3_FOR_1C6\chpt4\EXPT51_mux21A\工程mux21A (1) 实验目的:熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 (2) 实验内容1:首先利用QuartusⅡ完成2选1多路选择器(例3-1)的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出图3-3所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。 (3) 实验内容2:将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图3-1,并将此文件放在同一目录中。以下是部分参考程序: ... COMPONENT MUX21A PORT ( a,b: IN STD_LOGIC; y : OUT STD_LOGIC); END COMPONENT ; ... u1 : MUX21A PORT MAP(a=a2,b=3,=s0,=tmp); u2 : MUX21A PORT MAP(a=a1,b=tmp,=s1,=outy); END ARCHITECTURE BHV ; 【例3-1】 ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = 0 THEN y = a ; ELSE y = b ; END IF; END PROCESS; END ARCHITECTURE one ; 图3-1 双2选1多路选择器 3-2 mux21a功能时序波形 按照本章给出的步骤对上例分别进行编译、综合、仿真。并对其仿真波形作出分析说明。 (4) 实验内容3:引脚锁定以及硬件下载测试。若选择目标器件是EP1C6,建议选实验电路模式5(第一章图13),用键1(PIO0,在第七节所对应的引脚号为233)控制s0;用键2(PIO1,引脚号为234)控制s1;a3、a2和a1分别接clock5(引脚号为152)、clock0(引脚号为28)和clock2(引脚号为153);输出信号outy仍接扬声器spker(引脚号为174)。通过短路帽选择clock0接256Hz信号,clock5接1024Hz,clock2接8Hz信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。 (5) 实验报告:根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 library ieee; use ieee.std_logic_1164.all; entity muxk is port(a1,a2,a3,s0,s1:in std_logic; outy:out std_logic); end entity muxk; architecture one of muxk is component mux21a PORT ( a, b, s: IN std_logic; y : OUT std_logic ); end component; signal tmp:std_logic; begin u1:mux21a port map( a=a2,b=a3,s=s0,y=tmp); u2:mux21a port map( a1,tmp,s1,outy); end architecture ; library ieee; use ieee.std_logic_1164.all; ENTITY mux21a IS PORT ( a, b, s: IN std_logic; y : OUT std_logic ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = 0 THEN y = a ; ELSE

文档评论(0)

haihang2017 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档