74181ALU设计.doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
算术逻辑单元ALU的设计 班 级:计科1201班 学 号:1208030113 姓 名:何志强 设计日期:2014-11-20 西安科技大学计算机科学与技术学院 实验题目 算数逻辑单元ALU的设计 实验目的 设计一个简单的算数逻辑单元,并用Verilog实现,得到仿真波形。 实验设备 安装有modelsim和Quartus2的PC机一台 4.实验原理 算术逻辑单元ALU集成了各种算术运算和逻辑运算部件的功能,包括加、减、乘、除等数值运算、逻辑运算、移位运算等。把这些功能集成在一个逻辑部件ALU之中,使得ALU具有算术运算和逻辑运算功能。这种设计方法可以使得功能比较紧凑,简化对逻辑运算部件和算术运算部件的使用。同时还能最大限度的复用某些逻辑部件,从而减少逻辑电路的使用。 设计ALU首先要对各种算数逻辑运算进行编码。表1为本文设计的处理器实用的ALU功能编码表。 表1 ALU功能编码表 算术逻辑单元ALU的实现 算术逻辑单元ALU的内部详细设计 算术逻辑单元ALU的Verilog的实现 module ALU181A(S,A,B,F,M,CN,CO,FZ); input[3:0] S; input[7:0] A,B; input M,CN; output[7:0] F; output CO,FZ; wire[7:0] F; wire CO; wire[8:0] A9,B9; reg FZ; reg[8:0] F9; assign A9={1'b0,A}; assign B9={1'b0,B}; always @(M or CN or A9 or B9 or S) begin case(S) 4'b0000: if(M==0) F9<=A9+CN; else F9<=~A9; 4'b0001: if(M==0) F9<=(A9|B9)+CN; else F9<=(~A9)&B9; 4'b0010: if(M==0) F9<=(A9|(~B9))+CN; else F9<=~A9; 4'b0011: if(M==0) F9<=9'b000000000-CN; else F9<=9'b000000000; 4'b0100: if(M==0) F9<=A9+(A9&~B9)+CN; else F9<=~(A9&B9); 4'b0101: if(M==0) F9<=((A9+B9)+(A9&~B9)+CN); else F9<=~B9; 4'b0110: if(M==0) F9<=A9-B9-CN; else F9<=A9^B9; 4'b0111: if(M==0) F9<=A9+(~B9)-CN; else F9<=A9&(~B9); 4'b1000: if(M==0) F9<=A9+(A9&B9)+CN; else F9<=(~A9)+B9; 4'b1001: if(M==0) F9<=A9+B9+CN; else F9<=~(A9^B9); 4'b1010: if(M==0) F9<=A9+(~B9)+(A9&B9)+CN; else F9<=B9; 4'b1011: if(M==0) F9<=(A9&B9)+CN; else F9<=(A9&B9); 4'b1100: if(M==0) F9<=A9+A9+CN; else F9<=9'b000000001; 4'b1101: if(M==0) F9<=(A9+B9)+A9+CN; else F9<=A9+(~B9); 4'b1110: if(M==0) F9<=A9+(~B9)+A9+CN; else F9<=A9+B9; 4'b1111: if(M==0) F9<=A9-CN; else F9<=A9; default: F9<=9'b000000000; endcase end assign F=F9[7:0]; assign CO=F9[8]; endmodule ////////////////////////// `include"ALU181.v" module TestA; reg[7:0] a,b; reg[3:0] s; reg m,cn; wire[7:0] f; wire co,fz; initial begin a=8' b=8' s=4'b1001; m=0; cn=0; #2000 a=8' b=8' s=4'b1001; m=0; cn=0; #2000 a

文档评论(0)

00625 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档