- 1、本文档共7页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
顺序脉冲发生器实验
顺序脉冲发生器实验
实验目的
1. 了解顺序脉冲发生器的工作原理:
2. 掌握顺序发生器的VHDL描述方法:
3. 学会用EDA语言进行程序设计。
二、实验原理
在数控装置和数字计算机中,往往需要机器按照人们事先规定的顺序进行运算和操作,这就要求控制电路不仅能正确的发出各种控制信号,而且要求这些控制信号在时间上有一定的先后顺序,能完成这样功能的电路称为顺序脉冲发生器。
顺序脉冲发生器通常由计数器与译码电路构成,如图所示:
而脉冲发生器的种类分别有技数型和移存型两种,这里我们只做最简单的计数型脉冲发生器。图中的模M计数器取三位二进制计数器、译码器用三线-八线译码器来实现本次的顺序脉冲发生器。
当时钟脉冲CP的上升沿到来时,三位二进制计数器输出自加一,输出由000—111八种不同的状态。然后计数器输出的三位二进制数作为3线—8线译码器中的输入,由译码器来实现对三位二进制数对应输出信号的翻译。
实验过程
设计3位2进制计数器:
程序如下:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY counter3 IS
PORT( clk : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0));
END counter3;
ARCHITECTURE a1 OF counter3 IS
SIGNAL q1:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
PROCESS(clk)
BEGIN
IF (clkEVENT AND clk=1)THEN
q1=q1+1;
END IF;
q=q1;
END PROCESS;
END a1;
说明:时钟上升沿时q1自加一,信号q1赋值给q。3位2进制计数器设计完毕
2.3线—8线译码器的设计
程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity DECODE is
port
(
data_in :in std_logic_vector (2 downto 0);
data_out:out std_logic_vector (7 downto 0);
ledshow :out std_logic_vector (7 downto 0);
weight :out std_logic_vector (2 downto 0)
);
end decode;
architecture example of DECODE is
begin
process(data_in)
begin
weight = 000;
data_out(7)=0;
case data_in is
when 000= data_out =
when 001= data_out =
when 010= data_out =
when 011= data_out =
when 100= data_out =
when 101= data_out =
when 110= data_out =
when 111= data_out =
end case;
case data_in is
when 000= ledshow =
when 001= ledshow =
when 010= ledshow =
when 011= ledshow =
when 100= ledshow =
when 101= ledshow =
when 110= ledshow =
when 111= ledshow =
end case;
end process;
end example;
说明:这里的译码器的输出用彩灯和数码管一起表示。
将计数器与译码器封装完毕,用原理图输。入在Quartus实验环境下。原理图如下:
编译程序,编译无错误,进行下一步
连线,将ep2c5适配板左下角的JTAG用十芯排线和万用下载区左下角的SOPC JTAG口连接起来,
您可能关注的文档
最近下载
- 牙齿健康和龋齿预防科普知识ppt(共67张PPT).pptx VIP
- 2024年10月 政法干警锻造新时代政法铁军专题研讨班发言材料.docx VIP
- 反恐验厂-危机管理和应急恢复计划.doc
- 2024.10 政法干警锻造新时代政法铁军专题研讨班发言材料.docx VIP
- 六年级上册快乐读书吧知识测试题及答案.pdf VIP
- 北京字节跳动科技有限公司运营模式分析及发展趋势预测研究报告.docx VIP
- 《财务风险管理—以乐视公司为例》10000字.docx
- 人教八年级上册物理《光的反射》PPT教学课件.pptx
- 信息资源管理专业毕业设计论文:信息资源管理在学校教育中的应用研究.docx VIP
- 网络安全项目网络建设方案.doc
文档评论(0)