任务3 VHDL赋值语句课件.ppt

  1. 1、本文档共27页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
任务3 VHDL赋值语句课件

任务3 ;构造体;并行语句;并行语句;Begin End;构造体结构图;信号赋值语句;直接信号赋值语句;例:4 选 1 数据选择器 Architecture a of mux4_1 is Begin x = (a AND NOT(s(1)) AND NOT(s(0))) OR (b AND NOT(s(1)) AND s(0)) OR (c AND s(1) AND NOT(s(0))) OR (d AND s(1) AND s(0)) ; End a;;Y=ab+; LIBRARY IEEE; --调用IEEE库 USE IEEE.STD_LOGIC_1164.ALL ENTITY logic IS ――实体说明,定义实体名为logic PORT( a,b,c,d:IN STD_LOGIC; ――输入端口说明 y :OUT STD_LOGIC); ――输出端口说明 END logic; ――定义a,b,c,d是输入端口信号,y是输出端口信号 ARCHITECTURE jia OF logic IS SIGNAL e, f: STD_LOGIC ; ――定义e、f是内部信号 BEGIN f ﹤﹦ a AND b; ――以下三条并行语句与顺序无关 y﹤﹦ f OR e; e﹤﹦ c XOR d; END jia;;条件信号赋值语句( When_Else );When_Else语句举例(1);条件信号赋值语句( When_Else );When_Else语句举例(2);选择信号赋值语句(With_Select_When);With_Select_When语句举例(1);选择信号赋值语句( With_Select_When );With_Select_Then语句举例(2);构造体结构图;进程语句;进程语句;进程语句; LIBRARY IEEE; --调用IEEE库 USE IEEE.STD_LOGIC_1164.ALL; --调用IEEE库中的STD_LOGIC_1164.ALL程序包 ENTITY nand2 IS --实体说 (PORT a,b: IN STD_LOGIC; --输入端口说明 Y: OUT STD_LOGIC); --输出端口说明 END nand2; ARCHITECTURE nand2_1 OF nand2 IS --结构体1:数据流描述方式 BEGIN y﹤﹦a NAND b; --简单并行信号赋值语句 END nand2_1; ;ARCHITECTURE nand2_2 OF nand2 IS --结构体2:行为描述方式 BEGIN p1:PROCESS(a,b) VARIABLE comb:STD_LOGIC_VECTOR(1 DOWNTO 0); --变量定义 BEGIN comb:= a b; --变量赋值(此处为用并置运算符将a和b连接成一个两位矢量) CASE comb IS --CASE_WHEN多项选择语句 WHEN “00”=y=‘1’; --当ab=00时,y=1 WHEN “01”=y=‘1’; --当ab=01时,y=1 WHEN “10”=y=‘1’; --当ab=10时,y=1 WHEN “11”=y=‘0’; --当ab=11时,y=0 WHEN OTHERS=y=‘X’; --当ab的取值为其他情况时,y的取值不确定 END CASE; END PROCESS p1; END nand2_2;;CONFIGURATION pz OF nand2 IS --配置语句,指明用哪一个结构体 FOR nand2_1 END FOR; END CONFIGURATION;;ENTITY mux21a IS ――实体说明,定义实体名为mux21 PORT(a,b:IN BIT; ――输入端口说明,定义a,b,s为输入端口信号

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档