电子技术 雷勇 第9章.ppt

  1. 1、本文档共142页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子技术 雷勇 第9章

例1:用74161构成九进制计数器。异步清零,同 步置数 1 1 1 二片74LS290可构成100以内的计数器 例:二十四进制计数器 二十四分频输出 . 0010(2) 0100(4) S92 S91 Q3 Q0 Q2 Q1 R01 R02 CP1 CP0 计数脉冲 S92 S91 Q3 Q0 Q2 Q1 R01 R02 CP1 CP0 十位 个位 两位十进制计数器(100进制) 例: 六十进制计数器 Q3 Q2 Q1 Q0 S9(1) S9(2) R0(1) R0(2) CP1 CP0 个位 Q3 Q2 Q1 Q0 S9(1) S9(2) R0(1) R0(2) CP0 CP1 十位 个位为十进制,十位为六进制。个位的最高位 Q3 接十位的 CP0 ,个位十进制计数器经过十个脉冲循环 一次,每当第十个脉冲来到后 Q3由 1 变为 0,相当于 一个下降沿,使十位六进制计数器计数。经过六十个 脉冲,个位和十位计数器都恢复为 0000。 电子表电路 CP CP为秒脉冲(周期为1秒) 24进制计数器 60进制计数器 60进制计数器 a~g 7 7448 7448 7448 7448 7448 7448 QD~QA 秒显示 00~59秒 分显示 00~59分 小时显示 00~23小时 显示译码器 数码管 74LS90计数器 计数器应用举例—— 数字频率计-可测量一个数字信号ux的频率 74LS90 74LS90 100进制计数器 7448 7448 QD~QA a~g 7 1秒 门控信号 被测信号 ux 闸门 CP 显示译码器 数码管 1秒内计数的个数即为信号频率 有两个二-五-十进制计数器, 高电平清零 74LS390外引线排列图 1 16 8 9 UCC 1Q2 1Q1 1RD 1Q0 1Q3 地 1CP0 2Q3 2Q2 2Q1 2Q0 2RD 2CP0 2CP1 1CP1 十位 0100(4) 个位 0110(6) 1Q3 1Q0 1Q2 1Q1 1RD 1CP1 1CP0 计数脉冲 2Q3 2Q0 2Q2 2Q1 2RD 2CP1 2CP0 十位 个位 两位十进制计数器(100进制) 例:用一片74LS390构成四十六进制计数器 D (DOWN) — 减法脉冲输入端 U(UP) — 加法脉冲输入端 L(LOAD) — 置数端 CO — 进位端 BO — 借位端 C(CLR) — 清零端 74LS192外引线排列图 1 16 8 9 UCC Q2 U Q1 Q0 Q3 地 D1 L BO C CO D 74LS192 D0 D2 D3 74LS192功能表 ? 1 1 0 ? 加 计 数 ? ? 0 0 D0~D3 置 数 1 1 1 0 ? 保 持 1 ? 1 0 ? 减 计 数 ? ? ? 1 ? 清 零 U D LOAD CLR D0~D3 功 能 十进制同步加 / 减计数器 9.4.4 环行计数器和环形分配器 工作原理: Q1 D F1 Q2 D F2 Q3 D F3 Q0 D F0 CP 先将计数器置为Q3 Q2 Q1 Q0=1000 而后每来一个C,其各触发器状态依次右移一位。 即: 1000 0100 0010 0001 1 环行计数器 环行计数器工作波形 CP 1 2 3 4 Q2 Q1 Q0 Q3 环行计数器可作为顺序脉冲发生器。 2 环行分配器 Q J K Q Q0 F0 Q J K Q Q1 F1 Q J K Q Q2 F2 CP Q0 Q1 Q2 K0 = Q2 J0 =Q2 J1 =Q0 J2=Q1 K1 =Q0 K2 =Q1 环行分配器工作波形 Q2 Q1 Q0 CP 1 2 3 4 5 6 7 8 Q0 Q1 Q2 ? ? ? ? ? 可产生相移为? 的顺序脉冲。 * 四位二进制同步加法计数器级间连接的逻辑关系 触发器翻转条件 J、K端逻辑表达式 J、K端逻辑表达式 F0 每输入一CP翻一次 F1 F2 F3 J0 =K

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档