VHDL的正弦信号发生器的FPGA 实现——数字电路课程设计.pdf

VHDL的正弦信号发生器的FPGA 实现——数字电路课程设计.pdf

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL的正弦信号发生器的FPGA 实现——数字电路课程设计

数字电路课程设计 题目 正弦信号发生器的FPGA实现 班级 实验二班 学号 姓名 时间 第十六、十七周 地点 科A-304 指导 陈学英 刘沁沂 1 【摘要】:使用查找表,通过输入不同的频率控制字,生成相应的正 弦信号。用VHDL 编写相应代码,通过Modelsim 仿真测试,用 chipscope DA 和示波器显示生成的正弦信号。另外用 转换使之输出 模拟信号,并用示波器检测波形。 【目录】: 第一章、实验任务及原理 第二章、设计思路方法及方案 第三章、FPGA模块程序设计与仿真 第四章、硬件调试 第五章、结束语 2 【第一章】、实验任务及实验原理 1 VHDL Modelsim 任务: 、用 编写相应代码,通过 仿真测试,用 chipscope和示波器显示生成的正弦信号; 2 DA 、将数字信号 转换输出模拟信号,并用示波器观察 1 原理 、 由上图可见,当∆θ越大时,得到的频率越高。 原理2、 DAC模块(datasheet ) 3 选择工作模式如下: 由于DA 的工作频率为1MHZ,而FPGA 开发板工作频率为50MHZ,故在第一部分的基础 上,改进程序,产生符合上面模式的输出。 【第二章】、设计思路及方案 clk ∆θ addr ROM s in θ D Depth=B Part1 Part2 主要正弦波产生电路图如上,虚线左边是一个相位累加器,根据输入的频率控制字,生成 ROM 的地址输入信号,右边为ROM 存储单元。调用IPCORE 实现。输入地址信号,输出 对应地址的数据。 【第三章】VHDL程序模块及仿真 第一部分 主程序 libraryIEEE; useIEEE.STD_LOGIC_1164.ALL; useIEEE.STD_LOGIC_ARITH.ALL; useIEEE.STD_LOGIC_UNSIGNED.ALL; Uncomment thefollowinglibrary declarationifinstantiating any Xilinxprimitivesinthiscode. --libraryUNISIM; --useUNISIM.VComponents.all; entitysinis port(reset:instd_logic; clk:instd_logic; dtheta:instd_logic_vector(7 downto0); output:outstd_logic_vector(7 downto0) ); endentity; 4 architectureoneofsinis componentipcore_rom port(

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档