FPGA实验报告FPGA实验报告.docx

  1. 1、本文档共47页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
FPGA实验报告FPGA实验报告

实验一 Quartus使用环境和简单电路设计一、实验目的1. 熟悉Quartus Ⅱ软件基本使用方法;2. 掌握电路的基本设计方法;3. 掌握电路的基本仿真方法;4. 掌握电路的基本下载方法。二、实验器材1. KH-310 下载板;2. KH-310 LED 显示模块。三、文件档名加载:halfadd.sof烧录:halfadd.pof工程项目文件名:halfadd.qpf四、实验内容用VHDL 语言和原理图分别完成设计、仿真和下载基本逻辑门电路半加器的过程:输入:a,b; 输出:求和sum,进位c;五、实验步骤1. 新建文本文件在Quartus Ⅱ管理器界面中选择File/New.., 菜单,出现New 对话框,如图3.1 所示。在对话框中选择VHDL File,点击OK,打开文本编辑器,输入半加器的VHDL 语言程序,如图1.2 所示。然后保存文件halfadd.vhd。需要注意的是,文件名与模块名必须一致。图3.1 Quartus Ⅱ 新建文本文件界面图3.2 输入半加器的VHDL 语言程序图3.3 保存文件2. 建立工程项目(1)在管理器窗口中选择File/New Project Wizard...菜单,出现新建项目向导New Project Wizard 对话框,输入项目目录(r:\test)、项目名称(halfadd)和顶层实体(halfadd),如图3.4 所示,顶层实体名可以与项目名不同。图3.4 建立工程项目(2)点击Next,添加文件对话框。点击按钮“…”,添加与该项目有关的所有文件到当前项目,如图3.5 所示。本例只有一个文件halfadd.vhd,所以不用添加其它器件。图3.5图3.6图3.7 添加项目有关的文件(3)点击Next 按钮,再点击Next 选择目标器件系列Cyclone,点击NEXT 选择目标器件封装形式,引脚数目和速度级别。如图3.8 所示,点击NEXT。图3.8 选择目标器件(4)点击FINISH 按钮,项目halfadd 出现在项目导航窗口中,如图3.9 所示。双击文件名,即可打开文件。图3.9 打开文件3. 编译并综合选择菜单Processing\Start Complition 或直接点击工具栏中编译快捷按钮开始编译。编译过程中,状态窗口显示编译进度的百分比和每个阶段所花费的时间。信息窗口显示所有信息,警告和错误,双击某个信息项,可以定位到原设计文件并高亮显示。编译完成后将产生一个编译报告栏,编译结果在编译报告栏中自动更新,如图3.10 所示。报告栏包含了将一个设计适配到器件的所有信息。选中某一项可获得更详细的信息。如编译有错误,需要修改设计进行,并重新编译。图3.10 编译并综合文件4. 设计仿真(1)新建仿真波形文件.vwf选择菜单File/New..,在New 对话框中选择Other Files/Vector Waveform File,出现空的仿真波形文件。将文件保存为halfadd.vwf。如图1.11 所示:编译快捷键信息窗口图3.11 打开仿真波形文件(2)加入节点选择菜单Edit /Insert Node or Bus..,在Insert Node or Bus 对话框中点击按钮Node Finder,先在Filter 项里选择Pins:all,然后点击List,如图3.12 所示:图3.12 列出仿真节点点击“》”(全部选中)或“”(一个一个加入)选择要仿真节点,“《”和“”删除所选节点。点击OK,加入节点。如图1.13 所示:图3.13 选择仿真节点(3)确定仿真时间和编辑激励波形选择菜单Edit/End Time..,可以调整最大仿真时间。本例使用200ns,如图3.14 所示;选择菜单Edit\Grid Size..,可以修改网格大小,通常用网格大小表示信号状态的基本维持时间,本例输入5ns,如图3.15 所示。编辑激励波形时,先选中Name 栏的一个节点,然后单击图形工具菜单中的赋值快捷键,如图3.16 所示,根据要求编辑波形。 图3.14 确定仿真结束时间 图3.15 修改网格大小图3.16 编辑激励波形(4)运行仿真器保存后选择Processing \Start Simulation 菜单,或点击工具栏中的仿真快捷键按钮运行仿真器,波形如图3.16 所示。如果仿真结果有错误,需要修改设计并重新编译仿真。(5)管脚的分配与定位选择Assignment\ Pins 菜单,打开引脚分配窗口,如图3.17 所示。进行管脚分配:下面以33001下载板为例进行管脚分配:输入a 设置为引脚pin1,输入b 设置为引脚pin2,分别对应SW3 的IO1 和IO2;输出sum 设置为引脚pin43,输出c 设置为引脚pin44,分别对应KH-310 主板LED 区D1

文档评论(0)

yxutcangfp + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档