EDA彩灯控制课程设计.doc

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA彩灯控制课程设计

课 程 设 计 说 明 书 题目: 彩灯显示控制二 学院(系): 年级专业: 学 号: 学生姓名: 指导教师: 教师职称: 燕山大学课程设计(论文)任务书 院(系):电气工程学院 基层教学单位:电子实验中心 学 号 学生姓名 专业(班级) 设计题目 彩灯显示控制二 设 计 技 术 参 数 ●显示方式如下:L1、L2灭→L2、L3灭→…→L6、L7灭→L7、L8灭,然后L1、L8灭→L2、L7灭→…→L4、L5灭→L3、L6灭→…→L1、L8灭,依此循环 ●先以间隔时间0.5s循环一遍,再以间隔时间1.0s循环一遍,依此循环。 设 计 要 求 ●用红色信号灯组L1-L8显示 ●用时钟频率控制显示间隔 工 作 量 ●学会使用Max+PlusII软件和实验箱; ●独立完成电路设计,编程下载、连接电路和调试; ●参加答辩并书写任务书。 工 作 计 划 了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计; 学习使用实验箱,继续电路设计; 完成电路设计; 编程下载、连接电路、调试和验收; 答辩并书写任务书。 参 考 资 料 《数字电子技术基础》.阎石主编.高等教育出版社. 《EDA课程设计指导书》. 指导教师签字 基层教学单位主任签字 说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。 2010 年 12 月 16 日 目 录 一 设计说明…………………………………………………………………………………3 1.1 设计思路 …………………………………………………………………………3 1.2 模块介绍 …………………………………………………………………………3 二 原理图 …………………………………………………………………………………8 三 波形仿真图 ……………………………………………………………………………9 四 管脚锁定及硬件连线 …………………………………………………………………9 五 总结 ……………………………………………………………………………………10 参考文献 ……………………………………………………………………………………11 一、设计说明: 1、设计思路: 本实验L1—L8亮灭共有14种状态,因此可使用74161实现14进制计数,并经过74154四线—十六线译码输出,从而控制L1—L8,使其按要求以一定的时间间隔按既定的顺序亮灭。 2、模块介绍: 本实验有三个模块组成。 第一个模块为选频模块: 组成元件及功能分解: 两个输入端,分别为C1、C2,实现频率的输入; 两个与门,实现频率的选择; ③、一个或门,实现对下一个模块中74161的CLK信号输入; 一个T触发器,输入端接高电平,CLK信号由后续模块结束一次循环形成脉冲波控制,输出端实现对两个频率的选择。 电路图设计如下: 第二个模块为14进制计数器 组成元件及功能分析: 一片74161十六进制计数器,实现以一定时间间隔的计数。输出端接译码模块中74154的输入端。 一个四输入与门,DCBA’相与,输出经反向器接74161的CLRN端,清零,实现14进制的计数。同时,不经反向器输出接上一模块中T反向器的CLK端,实现对T反向器的功能 电路图设计如下: 真值表: CLK QD QC QB QA 0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 3 0 0 1 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0 7 0 1 1 1 8 1 0 0 0 9 1 0 0 1 10 1 0 1 0 11 1 0 1 1 12 1 1 0 0 13 1 1 1 0 第三个模块为译码模块: 组成元件及功能分析: ①、一片74154四线—十六线译码器,输出端分别按要求通过与门接灯泡。 四个三输入与门,四个四输入与门,输出分别接八个灯泡,实现对灯泡亮灭的控制。 电路图设计如下: 真值表: CLK DC BA O0 O1

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档