ASK编码器与译码器设计方案.docVIP

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
ASK编码器与译码器设计方案

项目十 ASK编码器与译码器设计 实训目标 设计m序列发生器; 设计ASK编码器; 设计ASK译码器; 在 实训内容 根据系统框图完成信号发生器的设计。 在 使用示波器观察ASK译码器的输出波形,将此波形与ASK编码器的输入波形进行比较,看ASK编译码是否成功,并记录波形的频率以及幅值。 实训数据 画出所设计的系统原理图,并附上每个模块的程序代码。 Mser代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mser is port( clk : in std_logic; load : in std_logic; mserout : out std_logic); end mser; architecture one of mser is signal msecom : std_logic_vector(3 downto 0); begin process(clk) begin if clkevent and clk = 1 then if load = 0 then msecom = 1110; mserout = msecom(3); else msecom(3 downto 1) = msecom(2 downto 0); msecom(0) = msecom(3) xor msecom(0); mserout = msecom(3); end if; end if; end process; end one; askdec代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity askdec is generic (cntperiod : integer:= 7); port(clk : in std_logic; askcodein : in std_logic; dataout : out std_logic); end askdec; architecture behave of askdec is signal cnt :integer range 0 to 255; signal datacom : std_logic_vector(1 downto 0); signal datareg : std_logic; begin process(clk) begin if clkevent and clk = 1 then datacom = askcodein datacom(1); end if; end process; process(clk) begin if clkevent and clk = 1 then if datacom = 10 then if (cnt cntperiod + 2) and (cnt cntperiod - 2) then dataout = 1; cnt = 0; end if; elsif cnt (cntperiod + 1) then dataout = 0; cnt = 0; else cnt = cnt + 1; end if; end if; end process; end behave; askcode代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity askcode is generic(cnthigh : integer:= 3; cntperiod : integer:= 7); port(clk : in std_logic; datain : in std_logic; askcodeout : out std_logic); end askcode; architecture behave of askcode is signal fsig : std_logic; signal cnt : integer range 0 to 255; begin process(clk) begin if clkevent and clk = 1 then if cnt = cnthigh then fsig = 1; cnt = cnt + 1; els

文档评论(0)

ipad0d + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档