基于EDA技术的四人电子抢答器.doc

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于EDA技术的四人电子抢答器

基于EDA技术电子抢答器的设计 冯贵锋 (广东工程职业技术学院 电子信息工程技术) 摘要:本设计采用EDA(电子设计自动化)技术,利用层次化设计方法设计4位抢答器,首先给出系统的功能描述,然后进行功能分解,逐层设计。在抢答器设计过程中,层次化思想体现在利用VHDL语言实现显示功能,在仿真成功的基础上,利用Altera公司的FPGA(现场可编程门阵列)芯片EP1K30QC208-2来实现该4位抢答器。实践证明,所设计的4位抢答器运行稳定,可靠性达到设计要求,分辨力较高,且无论是前面的锁存还是后面的显示都具有很大的拓展空间,可以很方便地得到拓展。 关键词:层次化, FPGA,电子抢答器, EDA 一、4位抢答器系统功能及功能分解 在各种知识竞赛中,电子抢答器是必不可少的设备之一,它的整体功能如下: 每个参赛者控制一个抢答按钮,主持人控制复位按钮,每个参赛选手对应一个号码,竞赛开始后,LED(发光二极管)显示器上显示先按动按钮的选手号码,此后其他人再按动按钮对电路不起作用。当主持人按下复位按钮后可进行新一轮抢答。将上述功能分解成显示功能、锁存功能、优先排序功能。在设计过程中,利用图形输入来实现锁存和优先排序功能,将排序输出作为显示的输入,利用VHDL语言实现显示功能。 二、锁存与优先排序功能的实现 利用MXA+PLUSⅡ软件的图形界面设计该功能,由于触发器具有锁存功能,所以采用74LS175触发器来保存数据,用与或非门来实现优先级别的判断。用aa、bb、cc、dd表示4位抢答输入按钮,接到输入端,clr表示复位按钮,接到复位端, clk表示时钟输入按钮,采用周期为200 ns的时钟源作为输入,Qa、Qb、Qc、Qd作为输出端,接到显示的输入端。如下图所示: 编译完成后,生成qdq. Sym,供顶层文件调用。 三、显示功能的实现 抢答者的号码通过共阴七段译码管显示,当1号选手首先按下时显示1,依类推,当按下复位键或其他非选手号码输入时,译码管显示为0。采用MAX+PLUSⅡ环境下的VHDL语言编写显示程序,进入环境。输入程序xianshi vhd如下: library ieee; use ieee. std_logic_1164. all; entity xianshi is port(d3, d2, d1, d0: in std_logic; a, b, c, d, e, f, g: out std_logic); end xianshi; architecture arc_xianshi of xianshi is signal din: std_logic_vector(3 downto 0); signal dout: std_logic_vector(6 downto 0); begin din=d3d2d1d0; process(din) begin case din is when0000=dout=1111110; when0001=dout=0110000; when0010=dout=1101101; when0100=dout=1111001; when1000=dout=0110011; when others=dout=1111110; end case; end process; a=dout(6); b=dout(5); c=dout(4); d=dout(3); e=dout(2); f=dout(1); g=dout(0); end arc_xianshi; 编译通过后,生成xianshi sym,供顶层文件调用。 四、顶层文件设计 通过调用前面的qdq. sym与xianshi sym来实现顶层文件的设计,将功能1所对应的4个输出引脚QA、QB、QC、QD分别对应显示输入的d0、d1、d2、d3,显示的输出接到LCD显示器。顶层文件结构如下图所示: 五、顶层文件仿真与下载 对顶层文件进行仿真,在250 ns时1号选手有输入,可以看出显示码为“0110000”,显示为1,仿真结果正确。仿真结果如下图所示: 下载时,时钟clk选取4MHz内部时针源,clr连接电平方式的按键,aa、bb、cc、dd连接4个脉冲方式的按键, a、b、c、d、e、f、g分别连接LCD显示器的7个引脚。 如下图所示: 六、结束语 采用EDA技术使得设计人员除系统级设计、行为级描述及对功能的描述以外均可由计算机自动完成,而将注意力集中在电子系统的总体开发上。这样,大大减轻了工作人员的工作量,提高了设计效率,减少了以往复杂的工序,缩短了开发周期,实现了真正意义上的EDA。本文设计的4位电子抢答器运行稳定,可靠性达到设计要求,分辨力较高,且无论是前面的锁存还是后面的显示都具有很大的拓展空间,可以很方

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档