电子技术综合实验试题模拟卷.doc

  1. 1、本文档共17页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子技术综合实验试题模拟卷

综合实验考试题 成绩构成: 1 2 3 4 5 6 7 8 合计 评阅签字 题目: 设计一个以1HZ频率按步进码进行计数的十进制纽环计数器,其十进制步进码转换表及计数器框图如下: 要求: 完成电路设计及仿真 (70分) 项目文件夹及项目名建立(5分) 实体命名及端口描述(5分) 结构体功能描述(40分) 仿真文件编写(10分) 仿真文件运行与分析(10分) 在开发板上完成下载实现 (30分) 6、 管脚适配 (10分) 7、 文件编程 (10分) 8、 下载实现与测试 (10分) 开发板信息如下: (1)器件型号为:xc3s200a-4ft256 (2)输入输出管脚配表如下: 信号名 CLK Q4 Q3 Q2 Q1 Q0 管脚号 T8 B8 A8 C8 C9 A9 注:CLK为系统时钟48MHZ; Q4—Q0对应指示灯LED8—LED4;低电平点亮 entity bujinma is Port ( clkin : in STD_LOGIC; q0 : out STD_LOGIC; q1 : out STD_LOGIC; q2 : out STD_LOGIC; q3 : out STD_LOGIC; q4 : out STD_LOGIC); end bujinma; architecture Behavioral of bujinma is signal cnt:STD_LOGIC_VECTOR(3 DOWNTO 0):=0000; signal clkcnt:integer range 1 to=1; signal clk:std_logic:=0; begin process(clkin) begin if rising_edge(clkin) then if clkcntthen clkcnt=1; clk=not clk; else clkcnt=clkcnt+1; end if; end if; end process; process(clk) begin if rising_edge(clk) then if cnt=1001 then cnt=0000; else cnt=cnt+1; end if; end if; end process; process(cnt) begin case cnt is when 0000= q0=0;q1=0;q2=0;q3=0;q4=0; when 0001= q0=1;q1=0;q2=0;q3=0;q4=0; when 0010= q0=1;q1=1;q2=0;q3=0;q4=0; when 0011= q0=1;q1=1;q2=1;q3=0;q4=0; when 0100= q0=1;q1=1;q2=1;q3=1;q4=0; when 0101= q0=1;q1=1;q2=1;q3=1;q4=1; when 0110= q0=0;q1=1;q2=1;q3=1;q4=1; when 0111= q0=0;q1=0;q2=1;q3=1;q4=1; when 1000= q0=0;q1=0;q2=0;q3=1;q4=1; when 1001= q0=0;q1=0;q2=0;q3=0;q4=1; when others= q0=0;q1=0;q2=0;q3=0;q4=0; end case; end process; end Behavioral; tb : PROCESS BEGIN clkin=1; wait for 1 ps; clkin=0; wait for 1 ps; END PROCESS; END; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity LY1 is Port ( clk : in STD_LOGIC; q0 : out STD_LOG

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档