基于FPGA的VGA显示贪吃蛇课程设计论文.doc

基于FPGA的VGA显示贪吃蛇课程设计论文.doc

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术接口实验 设计报告 题 目:基于FPGA的贪吃蛇游戏设计 院 (系): 电子工程与自动化学院 专 业: 控制工程 学生姓名: 学 号: 同 作 者: 指导教师: 职 称: 题目类型: 理论研究 实验研究 ( 工程设计 工程技术研究 软件开发 2013 年 5 月 20日 摘 要 本次课程设计介绍了用FPGA来驱动VGA显示贪吃蛇游戏的具体实现方法。贪吃蛇的游戏规则是玩家使用方向键操控一条长长的蛇不断吞下苹果,同时蛇身随着吞下的苹果不断变长,当蛇头撞到蛇身或障壁时游戏结束。本课程设计采用Verilog HDL语言编写,涉及到锁相环,VGA显示,状态机等相关知识。 关键词:FPGA VGA 贪吃蛇 Verilog HDL Abstract This course is designed to drive the introduction of VGA display with FPGA Snake game specific method. Snake rules of the game is the player to use the arrow keys to control a long snake continued to swallow apples, apple while snake swallow constantly changing as long as snakeheads hit the snake or the barrier when the game is over. This course is designed using Verilog HDL language, related to the phase-locked loop, VGA display, the state machine and other related knowledge. Keywords: FPGA VGA Snake Game Verilog HDL 目录 摘 要 I Abstract II 1 FPGA/CPLD概述 1 2 系统分析与总体方案 2 2.1系统分析 2 3 硬件电路设计 3 3.1系统电路设计 3 3.2 Pll设计 3 3.3游戏控制模块设计 4 3.4苹果产生模块设计 6 3.5贪吃蛇控制模块设计 7 3.6 VGA控制模块设计 11 3.7 键盘扫描模块设计 14 3.8顶层设计 14 4 软件调试结果 19 5 总结及致谢 20 参考文献 21 1 FPGA/CPLD概述 FPGA(现场可编程门阵列)和CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,他们是在PAL,GAL等逻辑器件的基础上发展起来的。同以往的PAL,GAL相比较FPGA/CPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGA/CPLD上就是一个子系统部件。这种芯片收到世界范围内电子工程设计人员的广泛关注和普遍欢迎。比较典型的就是Altera公司和Xilinx公司的CPLD器件系列和FPGA器件系列,他们开发较早,占用了较大的PLD市场。 FPGA/CPLD芯片都是特殊的ASIC芯片,除了具有ASIC的特点外,还具有以下几个优点: 随着VLSI(超大集成电路)工艺的不断提高单一芯片内部可以容纳上百万个晶体管,FPGA/CPLD芯片的规模也越来越大,其单片逻辑门数已达上百万门,它所实现的功能也越来越强,同时也可以实现系统集成,即片上系统SOC。 FPGA/CPLD芯片在出厂之前都做过百分之百的测试,不需要设计人员承担芯片风险和费用,设计人员只需在自己的实验室就可以通过相关的软硬件环境来完成芯片的最终功能设计。所以,FPGA/CPLD的资金投入就少,减少了潜在的花费。 用户可以反复的编程,擦除,使用或者在外围电路不动的情况下用不同软件就可实现不同的功能。FPGA/CPLD软件包中有各种输入工具和仿真工具,及版图设计工具和编程器等全线产品,电路设计人员在很短的时间内就可完成电路的输入,编译,优化,仿真,直至最后芯片的制作。当电路有少量的改动,更能显示FPGA/CPLD的优势。 2 系统分析与总体方案 2.1系统分析 贪吃蛇是经典小游戏,本设计采用VGA显示的方式将游戏展现出来。游戏中玩家通过四个按键控制蛇的身体上下左右移动来吃屏幕中出现的苹果

文档评论(0)

xingyuxiaxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档