EDA电子时钟设计报告.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA电子时钟设计报告

四川建筑职业技术学院 电子设计自动化实训 EDA电子时钟设计 姓名:张辉林 学号指导教师:丁杨,李启丙 实训时间:2011.6.6—6.24 _计算机工程_系 目录 前言………………………………………………………………3 设计要求………………………………………………………3 实训内容……………………………………3 实验目的………………………………………………………4 实验原理…………………………………………………………4 实验方框图……………………………………………………5 设计思路…………………………………………………………6 VHDL程序设计………………………………………………6 秒计数模块的VHDL源程序(second.vhd)……………………7 分计数模块的VHDL源程序(second.vhd)……………………8 小时计数模块的VHDL源程序(second.vhd)…………………8 时间设置模块VHDL程序(settime.vhd)………………………9 译码显示模块的VHDL程序………………………………………10 时序仿真图 ………………………………………………………11 电路原理图 ……………………………………………………12 PCB电路图 ……………………………………………………13 总结……………………………………………………………………14 一. 前言 电子系统设计自动化(EDA: Electronic Design Automation)已成为不可逆转的潮流,它是包含CAD、CAE、CAM等与计算机辅助设计或设计自动化等相关技术的总称。随着信息时代的到来,信息电子产品已不断地向系统高度集成化和高度微型化发展,使得传统的手工设计和生产技术无法满足信息产品的社会和市场需要,因此,人们开始借助于EDA技术进行产品的设计和开发。目前EDA技术主要是以计算机软件工具形式表现出来的,对于现代复杂的电子产品设计和开发来说,一般需要考虑“自上而下”三个不同层次内容的设计(即:系统结构级设计,PCB板级设计和IC集成芯片级设计)。Protel DXP软件系统是一套建立在IBM兼容PC环境下的CAD电路集成设计系统,它是世界上第一套EDA环境引入到Windows环境的EDA开发工具,具有高度的集成性和可扩展性。本设计就是利用Protel DXP 进行原理图设计、PCB布局布线、进行电路仿真测试。通过本设计充分了解到Protel DXP的特点并且充分掌握了Protel DXP的设计系统的基础知识。 二. 设计要求 设计一个电子时钟,要求可以显示时,分秒。用户可以设置时间。 时,分,秒计数功能,且24小时循环计时。 计时结果用6个数码管分别显示时,分,秒的十位和个位。 具有报时的功能。 三.实训内容 系统设计要求 ?(1)具有时、分、秒计数显示功能,小时为24进制,分钟和秒为60进制; (2)可以根据需要设置复位、清零、置位等功能; (3)具有整点、任意时间报时功能; (4)设计电路原理图和PCB图; (5)焊接并调试; (6)写出实训报告,实训报告要求画出每个模块的仿真波形。 四.实验目的 1.掌握多位计数器相连的设计方法。 2.掌握十六进制,二十四进制,六十进制计数器的设计方法。 3.掌握CPLD技术的层次的设计方法。 4.了解VHDL的程序设计,学会编写,调试,运行和仿真。 5.掌握数字顶层原理图的设计与仿真。 6.掌握Protel DXP软件PCB电路的设计与制作。 7.学会电路板的制作与焊接电路。 8.学会VHDL程序下载及调试。 9.培养独立分析问题,解决问题,学会动手动脑的能力。 五. 实验原理 1)时、分、秒计时器 时计时器为一个24进制计数器,分、秒计时器均为60进制计数器。当秒计时器接受到一个秒脉冲时,秒计数器开始从1计数到60,此时秒显示器将显示00、01、02、...、59、00;每当秒计数器数到00时,就会产生一个脉冲输出送至分计时器,此时分计数器数值在原有基础上加1,其显示器将显示00、01、02、...、59、00;每当分计数器数到00时,就会产生一个脉冲输出送至时计时器,此时时计数器数值在原有基础上加1,其显示器将显示00、01、02、...、23、00。即当数字钟运行到23点59分59秒时,当秒计时器在接受一个秒脉冲,数字钟将自动显示00点00分00秒。 六.总体方框图 VHDL模块方框图 七.设计思路 根据系统设计要求,系统设计采用自顶向下设计方法,由时钟分频部分、计时部分、按键部分调时部分和显示部分五个部分组成。这些模块都放在一个顶层文件中。 1)时钟计数: 首先下载程序进行复位清零操作,电子钟从00:00:00计时开始。sethour可以调整时钟的小时部分, se

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档