发一个PS2接口的VHDL代码.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
发一个PS2接口的VHDL代码

发一个PS2接口的VHDL代码? 贴子发表于:2008/11/16 17:05:47 -- Hi Emacs, this is -*- mode: vhdl -*- ---------------------------------------------------------------------------------- -- Unidirectional PS2 InteRFace (device - host) -- For connect mouse/keyboard -- -- The PS/2 mouse and keyboard implement a bidirectional synchronous serial -- protocol.? The bus is idle when both lines are high (open-collector).? -- THIS A *UNIDIRECTIONAL* INTERFACE (DEVICE - HOST) -- -- Javier Valcarce Garc韆, javier.valcarce@ -- $Id$ ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.utils.all; entity interface_ps2 is ? port ( ??? reset?? : in? std_logic; ??? clk???? : in? std_logic;??????????? -- faster than kbclk ??? kbdata? : in? std_logic; ??? kbclk?? : in? std_logic; ??? newdata : out std_logic;??????????? -- one clock cycle pulse, notify a new byte has arrived ??? do????? : out std_logic_vector(7 downto 0) ??? ); end interface_ps2; ------------------------------------------------------------------------------- architecture behavioral of interface_ps2 is ? signal st : std_logic; ? signal sh : std_logic; ? signal s1?????? : std_logic; ? signal s2?????? : std_logic; ? signal kbclk_fe : std_logic; ? signal shift9 : std_logic_vector(8 downto 0); ? signal error? : std_logic; ? begin ------------------------------------------------------------------------------- -- Edge detector -------------------------------------------------------------------------------? ? process (reset, clk) ? begin ??? if reset = 1 then ????? s1 = 0; ????? s2 = 0; ????? ??? elsif rising_edge(clk) then ????? s2 = s1; ????? s1 = kbclk; ??? end if; ? end process; ? kbclk_fe = 1 when s1 = 0 and s2 = 1 else 0; ------------------------------------------------------------------------------- -- 9-bit shift register to store received data -- 11-bit frame, LSB first: 1 start bit, 8 data

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档