VHDL语言实践.doc

  1. 1、本文档共43页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL语言实践

第6章 VHDL语言实践 学习VHDL语言实际练习很重要,下面是5个实际例子,每个例子都在本书附录2中介绍的实验板上验证,并证明是正确的。 6.1 实践1:用VHDL语言描述楼梯灯 试设计一个楼梯灯控制装置。 控制要求:只用一个按钮控制,当按一次按钮时,楼梯灯亮4min后自动熄灭;当连续按二次按钮时,灯长亮不灭;无论是定时状态,还是灯长亮状态,只要按下按钮的时间超过2s时灯熄灭(注意如果按下时间不超过2s,原状态继续)。该控制器框图如图1所示。状态机的状态图如图2所示。假设: KEY=1表示按钮按下,KEY=0表示按钮跳起。 TD2=1表示2s时间到。 TD240=1表示240s时间到。 ST_2=1表示启动2s定时器。 ST_240=1 表示启动240s定时器。 LAMP=1表示灯亮。 从该状态机的特点可以看出,这是一个梅里状态机,这是因为只要按钮一按下,一些动作就立刻开始执行,而不是等到状态转移后,例如当按钮按下,两秒定时器就开始工作。 实验步骤: 在单独的文件夹中建立项目、输入各个底层VHDL源文件、仿真;建立顶层电路图源文件,将各个底层描述形成模块符号、连接电路、锁定引脚、编译、下载到实验板、观察结果。 图6.1-1 楼梯灯控制装置框图 图6.1-2 楼梯灯的状态图 (1)状态机的VHDL描述: library ieee; use ieee.std_logic_1164.all; entity fsm is port(key,clk,td2,td240 :in std_logic; st2,st240,lamp :out std_logic); end; architecture fsm_arch of fsm is type zt_type is (s1,s2,s3,s4,s5,s6,s7,s8,s9); signal zt_now, zt_next : zt_type; begin n1:process(clk) --状态寄存进程 begin if clkevent and clk=1 then zt_now=zt_next; end if; end process; n2:process(key,zt_now) --次态逻辑和梅里输出 begin case zt_now is when s1= if key=1 then lamp=1;st240=1;st2=0;zt_next=s2; elsif key=0 then lamp=0;st240=0;st2=0;zt_next=s1; end if; when s2= if key=0 then lamp=1;st240=1;st2=1;zt_next=s3; elsif key=1 then lamp=1;st240=1;st2=0;zt_next=s2; end if; when s3= if key=1 then lamp=1;st240=1;st2=0;zt_next=s7; elsif (key=0) and (td2=1) then lamp=1;st240=1;st2=1;zt_next=s4; else lamp=1;st240=1;st2=1;zt_next=s3; end if; when s4= if key=1 then lamp=1;st240=1;st2=1;zt_next=s5; elsif (key=0) and (td240=1) then lamp=0;st240=1;st2=0;zt_next=s1; else lamp=1;st240=1;st2=0;zt_next=s4; end if; when s5= if (key=1) and (td2=1) then lamp=0;st240=0;st2=1;zt_next=s6; elsif key=0 then lamp=1;st240=1;st2=0;zt_next=s4; else

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档