EDA汽车尾灯控制器设计报告.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA汽车尾灯控制器设计报告

《EDA技术应用》 课程设计报告 专 业: 通信工程 班 级: 姓 名: 指导教师: 二 0一二 年 12 月 2 日 1. 设计任务和要求 2 1.1设计任务 2 1.2设计要求 2 2. 设计方案 3 3. 各模块设计 3 3.1主控模块 3 3.2右边灯控制模块 5 3.3左边灯控制模块 7 3.4时钟分频模块 9 3.5顶层文件(ourdesign.vhd) 11 4.整体设计 12 4.1系统仿真图 12 4.2系统电路图 13 5.硬件测试 14 5.1端口设置 14 5.2测试结果 15 6.心得体会 15 7.指导教师意见 15 8 .参考文献 16 汽车尾灯控制器的设计 设计任务及要求 1.1设计任务 假设汽车尾部左右两侧各有3盏指示灯,其控制功能应包括: 汽车正常行驶时指示灯都不亮。 汽车右转弯时,右侧的一盏指示灯亮。 汽车左转弯时,左侧的一盏指示灯亮。 汽车刹车时,左右两侧的一盏指示灯同时亮。 汽车在夜间行驶时,左右两侧的一盏指示灯同时一直亮,供照明使用。 1.2设计要求 (1) 采用VHDL语言编写程序,并在QuartusII工具平台中进行开发,下载到EDA实验箱进行验证。 (2) 编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。 设计方案 大致设计方案: 根据系统设计要求,系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方案,它是由时钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。 系统的输入信号包括:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT。 系统的输出信号包括:汽车左侧3 盏指示灯LLED1,LLED2,LLED3和汽车右侧3 盏指示灯RLED1,RLED2,RLED3。 当汽车正常行驶时所有的指示灯都不亮,当汽车向左转时,汽车左边的指示灯LLED1亮,当汽车向右转时,汽车右边的指示灯RLED1亮,当汽车刹车时,左右的LLED2、RLED2亮,当汽车夜间行驶时,汽车左右的LLED3、RLED3一直亮。 通过编写相关VHDL语言程序实现以上功能,将四个模块的各个程序编写出来作为相应的底层文件,然后再设计一个顶层文件将四个不同模块连接起来,实现成整体的程序。通过不同模块生成相应的元件,将生成的各个元件按功能进行连接形成整体的设计电路。在完成编程后,再进行功能,时序仿真,最后进行硬件检测。 系统的整体组装设计原理如图所示 3各组成模块原理及相应的程序 3.1汽车尾灯主控模块 a. 数据入口 : LEFT:左转信号; RIGHT:右转信号; BRAKE:刹车信号; LR:错误控制信号; NIGHT:夜间行驶信号; b.数据出口: LP:左侧灯控制信号; RP:右侧灯控制信号; BRAKE_LED:刹车控制信号; NIGHT_LED:夜间行驶控制信号; c.模块VHDL程序(MC.vhd) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MC IS PORT(LEFT,RIGHT,BRAKE,NIGHT:IN STD_LOGIC; --设定四个输入 LP,RP,LR,BRAKE_LED,NIGHT_LED:OUT STD_LOGIC); --设定五个输出 END MC; ARCHITECTURE ART OF MC IS BEGIN NIGHT_LED=NIGHT; BRAKE_LED=BRAKE; PROCESS(LEFT,RIGHT) VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN TEMP:=LEFT RIGHT; CASE TEMP IS WHEN 00 =LP=0;RP=0;LR=0;    --当汽车直行时,左右灯都不亮 WHEN

文档评论(0)

pangzilva + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档