2016EDAVerilog05复杂数字电路设计.ppt

  1. 1、本文档共51页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
由两个m序列优选对逐位模2加得到。当改变其中一个序列的相位时,又可得到一个新的Gold 序列。 Gold序列虽然是由m序列模 2加得到的,但它已不再是m序列,不过它仍具有m序列优选对类同的自相关和互相关特性,而且构造简单,产生的序列数多,因而获得广泛的应用。 * * * * * 一个输出,一个输入 * 一个输出,一个输入 * * * * * * throughout * * * * * * * 虽然这种病态的代码不建议书写,但分析这些代码可以熟悉编译器、仿真器的工作特点 * 闪电符号,可行但不推荐 * * 2014.5.30讨论到这里 * 但是,对是对,一般这样写是给自己找麻烦 * * * ^组合逻辑 * * * * 锁存器电路建模时,用非阻塞赋值;(逻辑上是安全的) 因为:非阻塞复制语句的赋值在所有的 $display 命令执行之后才更新 strobe —— 闸门,频闪仪 * P——部分和 S——和 Ci AB都是1,或者AB中有一个是1,而进位值为1。 右边的电路图的实现,虽然在设计上用了中间项,作了递推的列式,实际上还是用 CO=!(!A!B+!A!CI+!B!CI)这样理解比较简单。 * 5.4 阻塞与非阻塞 移位寄存器 module pipeb3(q3,d,clk); output[7:0] q3; input[7:0] d; input clk; reg [7:0] q3,q2,q1; always @ (posedge clk) q1=d; always @ (posedge clk) q2=q1; always @ (posedge clk) q3=q2; endmodule 再换一种方法? module pipeb4(q3,d,clk); output[7:0] q3; input[7:0] d; input clk; reg [7:0] q3,q2,q1; always @ (posedge clk) q3=q2; always @ (posedge clk) q2=q1; always @ (posedge clk) q1=d; endmodule 观察那种方法能实现图示电路? 再换一种方法? * 5.4 阻塞与非阻塞 移位寄存器 module pipen1(q3,d,clk); output[7:0] q3; input[7:0] d; input clk; reg [7:0] q3,q2,q1; always@ (posedge clk) begin q1 = d; q2 = q1; q3 = q2; end endmodule 再换一种方法? module pipen2(q3,d,clk); output[7:0] q3; input[7:0] d; input clk; reg [7:0] q3,q2,q1; always@ (posedge clk) begin q3 = q2; q2 = q1; q1 = d; end endmodule 观察那种方法能实现图示电路? 再换一种方法? * 5.4 阻塞与非阻塞 移位寄存器 module pipen3(q3,d,clk); output[7:0] q3; input[7:0] d; input clk; reg [7:0] q3,q2,q1; always @ (posedge clk) q1=d; always @ (posedge clk) q2=q1; always @ (posedge clk) q3=q2; endmodule 再换一种方法? module pipen4(q3,d,clk); output[7:0] q3; input[7:0] d; input clk; reg [7:0] q3,q2,q1; always @ (posedge clk) q3=q2; always @ (posedge clk) q2=q1; always @ (posedge clk) q1=d; endmodule 观察那种方法能实现图示电路? 再换

文档评论(0)

开心农场 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档