每日一剑.docVIP

  1. 1、本文档共5页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
每日一剑

EDA不是软件,是一种技术^^^^? EDA技术的概念? EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。? 利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。? 现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。? EDA设计可分为系统级、电路级和物理实现级。? 2 EDA常用软件? EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。? (下面是关于EDA的软件介绍,有兴趣的话,旧看看吧^^^)? 下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。 ? ? nwokeqspe??2009-09-14 20:48:40 如何学习FPGA verilog 学Quartus II+Verilog三步走checklist2009-04-17 13:37//****************************************************************? // 入门篇: (秋干勿燥,冬去春来)? //****************************************************************? 01. 建立项目? 02. Verilog? a). nand/nor/and/or;? b). assignment;? c). always;? 04. 选设device? 05. Settings:? a). 加减文件;? b). 设置top module文件;? c). 设置classic timing时序仿真主时钟fMAX;(可以不设)? 06. 编译(直接选按钮)? 07. 仿真(直接选按钮)? a). 创建.vwf波形文件,? b). 添加netlist节点,? c). 设置激励波形;? d). 设置仿真波形文件? e). 阅读并判断仿真结果的正确性? //****************************************************************? // 初级篇: (固知其然, 方得真经)? //****************************************************************? 11. setting:? a). 选择并使用functional / timing仿真;? b). 选择时序分析方法: Classic timing / TimeQuest;? c). 阅读在线帮助,选择其他设置;? d). 阅读编译报表, 理解Tsu, Tpd, Th, Tco? e). 设置各种独立时钟? 12. pin assignment? a). 各输入输出引脚的location分配;? b). 接入但未用引脚的特别处理;? c). 多电平的选设(若有多为io的供电);? 13. 阅读并理解项目文件夹下各种扩展名文件的基本功能;? 3a). *.v, *.qpf, *.pin, *.vwf, *.qof? 3b). 浏览*.qsf,完全理解各部分的意义.? 3c). 掌握*.sof文件的生成方法, 了解其它各种生成文件的使用场合.? 14. 仿真? a). 生成功能仿真网表.? b). ctrl + 滚轮缩放 或 ctrl+shift+space 缩放;? c). ctrl + alt + space 全屏切换;? d). 处理仿真中inout端口的时钟冲突warning.? 15. Verilog:? a). 充分理解reg与output的相关性和区别

文档评论(0)

asd522513656 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档