- 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
- 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
- 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
- 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们。
- 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
- 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
频率计课程设计报告资料
引脚分配:
频率计是电子技术中常用到的一种电子测量仪器,我们以往用的频率计大都是采用单元电路或单片机技术设计的,采用传统的手工设计发展而来的自底向上的设计方法。本设计采用自顶向下的设计方法.整个设计是从系统顶层开始的,结合模拟手段,可以从一’开始就掌握所实现系统的性能状况,结合应用领域的具体要求,在此时就调整设计方案,进行性能优化或折衷取舍。随着设计层次向下进行,系统性能参数将得到进一步的细化与确认,随时可以根据需要加以调整,,从而保证了设计结果的正确性,缩短了设计周期,设计规模越大,这种设计方法的优势越明采用VDHL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。在不更改硬件电路的基础上,对系统进行各种改进还可以进一步提高系统的性能。该数字频率计具有高速、精确、可靠、抗干扰性强和现场可编程等优点。
所谓频率,就是周期性信号在单位时间(1s)里变化的次数。本频率计设计测量频率的基本原理是,首先让被测信号与标准信号一起通过一个闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用12864液晶显示出来。
根据数字频率计的基本原理,本文设计方案的基本思想是分为3个大模块来实现其功能,即测频模块(分频,计数)、译码模块和lcd12864驱动模块等几个单元,并且分别用VHDL对其进行编程,实现了闸门控制信号、计数电路、译码电路、显示电路等。
技术性能指标:
1)能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率;
2)能直接用12864液晶显示测得的频率;
3)频率测量范围:1HZ~10MHZ;
4)输入信号幅度范围为2.5~5V,要求一起自动适应;
5)测量时间:T〈=1.5S;
6)用CPLD/FPGA可编程逻辑器件实现;
顶层设计:(原理图法)
--文件名:cepin.vhd
--功能:频率计
--编写时间:2010.9.6
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity cp is
port(clk:in std_logic; --基准时钟(10MHz)
tclk:in std_logic; --被测信号
reset:in std_logic; --复位信号
--alARM0,alARM1:out std_logic; --超量程,欠量程显示
--dian:out std_logic_vector(3 downto 0); --小数点
dATa1:out integer range 0 to 65535); --频率数据 (测频范围10MHz)
end cp;
architecture behav of cp is
signal q:integer range 0 to 9999999; --预置闸门分频系数
signal q1:integer range 0 to 8; --被测信号计数器
signal q2:integer range 0 to --基准信号计数器
signal en,en1:std_logic; --预置闸门,实际闸门
--signal qq,qqq:integer range 0 to 200000000; --运算器
signal dATa0:integer range 0 to 9999999; --频率数据中间信号
begin
process(clk) --此进程得到一个预置闸门信号
begin
if clkevent and clk=1 then
if reset=0 then q=0;en=0;
elsif q=9999999 then q=9999999;en=0;
else q=q+1;en=1;
end if;
end if;
end process;
process(tclk)
您可能关注的文档
- 工程估价课程设计清单计价表-副本.doc
- 弹民谣吉他初学者的误区.doc
- M8Unit3Project教学案.doc
- 高考函数专题二轮复习.doc
- I’vegotanewbook.ppt
- 高三周末练习13.doc
- 铁合金余热发电.ppt
- 公共基础练习题库一.doc
- 微型机继电保护论文.doc
- 如何落实工作搞好管理.doc
- 2025年美容护肤专家认证考试模拟题集答案版及解析.docx
- 2025年退役军人服务站招聘模拟题与答题技巧.docx
- 2025年职位面试技巧大揭秘模拟题与答案详解.docx
- 2025年航空航天技术研究院招聘考试模拟题及答题技巧.docx
- 北京市清华大学附属中学2025-2026学年高三上学期开学考试数学试题.pdf
- 安徽省颍上第一中学2025-2026学年高三上学期开学质量检测数学试题.pdf
- 安徽省太和中学2025-2026学年高二上学期第一次月考数学试题.pdf
- 云南省曲靖市罗平长水实验中学2025-2026学年高二上学期9月月考数学试卷.pdf
- 陕西省柞水中学2026届高三上学期9月月考数学试题.pdf
- 辽宁省沈阳市东北精准联盟2025-2026学年高三上学期9月期初考试数学试题.pdf
最近下载
- 2025年园林公务员测试题及答案.doc VIP
- 华医网不孕症的中西医药诊治新进展相关试题及答案.doc VIP
- CUDA并行编程从入门到实战指南.docx VIP
- 2025超越健康:重构零售药房的社会价值.pdf VIP
- 机械设备施工专项方案.docx VIP
- 张爱玲经典散文(精选11篇).docx VIP
- 1 审计工作底稿编制指引——货币资金.pdf VIP
- 《铁路调度指挥及控制系统》课件——CTC系统概述.pptx VIP
- 高中思想政治必修第1册 中国特色社会主义 第3课 只有中国特色社会主义才能发展中国【复习课件】.ppt VIP
- 信息技术(基础模块)(WPS Office)(AI协同)(微课版)课件 模块七 WPS AI应用.pptx
原创力文档


文档评论(0)