第4章 基于odelSim的仿真.ppt

  1. 1、本文档共55页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第4章 基于odelSim的仿真

第4章 基于ModelSim的仿真 4.1 ModelSim的仿真方法 4.2 Quartus II和ModelSim联合仿真 4.1 ModelSim的使用方法 4.1.1 ModelSim软件简介 ModelSim 是业界最优秀的 HDL 语言仿真器。它提供最友好的调试环境,是业界唯一单一内核支持VHDL、 Verilog HDL 和 SystemC 混合仿真的仿真器,同时也支持业界最广泛的标准如 Verilog 2001、SystemVerilog 等,内部集成了用于 C/C++,PLI/FLI和 SystemC 的集成 C 调试器。支持众多的 ASIC和 FPGA厂家库,可以用于 FPGA 和 ASIC 设计的 RTL 级和门级电路仿真。是作 FPGA/ASIC 设计的RTL 级和门级电路仿真的首选全面支持UNIX(包括 64 位)、Linux和 Windows平台。 为什么要学Modelsim? 1. 2. Modelsim的安装 4.1.2 基本仿真步骤 建立工作库/建立资源库 编译源代码 启动仿真器 执行仿真 4.1.3 各个界面介绍 ModelSim仿真软件在默认条件下提供了主窗口、结构窗口、源程序窗口、信号窗口、进程窗口、变量窗口、 数据流窗口、 波形窗口、 存储器窗口、 列表窗口等 11 种不同的用户窗口。 主窗口 主窗口在ModelSim启动时直接打开的,是所有其他窗口运行的基础。通常情况下主窗口分为工作区和脚本区(也叫命令控制台)两个部分,通过工作区可以很方便地对当前的工程的工作库以及所有打开的数据集合等进行控制,通过命令控制台可以在 ModelSim的提示符下输入所有 ModelSim命令,并且可将命令执行结果反馈回来,便于实时掌握运行情况。主窗口的典型形式如所示。 数据流窗口 数据流窗口(Dataflow)是一般仿真软件都提供的一个通用窗口,通过该窗口可以跟踪设计中的物理连接,跟踪设计中事件的传播,也可以用来跟踪寄存器、网线和进程,极大地丰富了调试方法。数据流窗口中可以显示进程(可以是 Verilog 的一个模块) 、信号、网线和寄存器等,也可以显示设计中的内部连接。窗口中有一个内置的符号表,映射了所有的 Verilog基本门,例如与门、非门等,这些符号可以在数据流窗口中显示。其他的 Verilog 基本组件可以使用模块或者用户定义的符号在数据流窗口中显示。 数据流窗口中的符号都使用了类似[#ASSIGN#23#2]、[#ALWAY#56]或[〈module_name〉]等信息进行说明,其中第一个#说明了这个符号的语句,第二个#后面紧跟了产生这个符号的语句所在的源文件中的行号,第三个#说明了这个语句在源文件中属于当前行的第几个语句。 列表窗口 列表窗口使用表格的形式显示仿真的结果。窗口被分为两个可调整的部分,右边为信号列表,左边为仿真时间以及仿真的Delta时间。同时可以从主窗口中创建列表窗口的第二个副本,两个列表窗口可以进行不同的设置,便于仿真结果的比较,同时也可以在波形比较时对相应得数据进行列表对比。 源文件窗口 源文件窗口主要用来显示和编辑 HDL 源文件代码。ModelSim 源文件窗口是一个很优秀的硬件描述语言编辑工具,在这个窗口中可以显示文件的行号,同时可以使用“新建”按钮打开语言模板来方便源代码的编写,语言模板会根据编写的源文件的类型自动调整。 波形窗口 就像列表窗口可以用来查看仿真结果一样,波形窗口也可以用来显示仿真波形,并且比列表窗口更直观,所以波形窗口是最常用的仿真窗口之一。波形窗口一般分为 3 个不同区域,分别用来显示信号名称以及路径,光标所在位置信号的当前值、波形等。 4.1.4 ModelSim调试功能 在波形窗口中监视信号,查看仿真的波形 改变当前目录到要变异的文件所在的目录 建立工作库并编译相关源文件 加载设计的激励文件 向波形窗口中添加项目,即要监视的信号 缩放波形显示 在波形窗口中使用光标 保存波形窗口格式 4.1.4 ModelSim调试功能 使用断点、断点设置方法 在源文件窗口中打开要调试的源文件,找到要设置断点的地方 在要设置断点的行的红色行号后面单击鼠标左键,行号后出现的红色圆圈表示断点设置成功。 当仿真被断点停止后可以查看信号当前值 在信号窗口中查看各个信号的当前值 在源程序窗口中,将光标悬停在需要查看的信号上,会出现一个注释说明信号当前值 可以通过主窗口命令台中使用examine查看 4.2 Quartus II和ModelSim联合仿真 4.2.1 EDA工具使用方法 1.EDA工具选项 2.为工程设置EDA工具 3.执行仿真 (1)方法一

文档评论(0)

173****7830 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档