位格雷码二进制变换.docVIP

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
位格雷码二进制变换

集成电路课程设计报告 设计课题:格雷码变换器 专业班级: 11电子 学生姓名: 学  号:    指导教师: 陈建萍 设计时间:2014.5-2014.6 实验目的 1、用组合电路设计4位格雷码/二进制码变换电路。 2、学习利用原理图和VHDL语言输入法设计简单逻辑电路的方法。 实验内容 1、采用原理图输入方法设计4位格雷码/二进制码变换电路。 2、采用VHDL语言输入方法设计格雷码/二进制码变换电路。 设计方案与论证 1.实验方案 用QUARTUS软件。采用画出电路图和输入程序源代码来实现VHDL语言输入方法设计格雷码/二进制码变换电路。格雷码转换为自然二进制码的转换规则,实际上就是不断的将格雷码与二进制数做异或操作,也就是说,不断的和本身的不同位数做异或操作 图1 四位二进制格雷码真值表 3. 电路图及设计文件 图2 4位格雷码/二进制码变换电路原理图 GENERIC语句 GENERIC被称为参数传递映射语句,它描述响应的元件类属参数间的衔接和传送方式。参数传递语句用于设计从外部端口改变原件内部参数或结构规模的元件,也可称其为类书元件。该语句在改变电路结构或元件硬件升级方面显得尤为便捷。 其语句格式为: Generic map(param.list) port map(port list); 例:generic(n:integer:=7); 该语句定义了参数N为整数,且赋值为7。 GENERATE语句 电路某部分由同类元件构成,这类同类元件叫做规则结构,如:ROM,RAM,移位寄存器等规则结构可以用生成语句来描述。生成语句可以用for_generate语句描述。 其书写格式如下: 标号:for循环变量in离散范围generate 并行处理语句; End generate 标号; 其中,标号是for_generate语句的唯一标识符,是可选项;循环变量的值在每一次循环中都发生变化;离散范围用来指定变量循环的取值范围,循环变量实际上规定了循环的次数;循环变量每去一个只就执行一次并行语句。 该语句和for_loop语句很类似,但是二者也有一定的区别。For_generate语句中执行的语句为并行语句,而for_loop语句中执行的语句为顺序语句。 测试方法与数据 1. 4位g_to_b可以在数码管显示的VHDL代码 图3 4位格雷码/二进制码程序 2. 实验仿真结果 图4 仿真结果 3. 管脚配置 图5 管脚配置图 4. n位g_to_b VHDL代码 图6 n位g_to_b VHDL代码 5. n位g_to_b仿真 图7 n位g_to_b仿真 结果分析 由实验仿真结果可以看出,电路程序仿真波形与前面实验设计原理中的四位格雷码/二进制转换电路真值表所给出的内容相符合,表明程序正确,仿真结果正确,实验成功,设计成功。 小结与体会 这次试验比较深层次的教会我们VHDL在QUARTUS的应用,综合来讲用语言写电路比手工画电路方便,特便是电路特别复杂的情况下,代码下的电路则清晰易懂,不会出错,查起来比较方便,对以后的工作都是有帮助的,从而进一步扩充了我们的社会实践经验。虽然在实验过程中由于知识水平的有限,出现了一些小问题与小麻烦,但是在老师的指导和同学的帮助下,最终成功克服了这些困难,顺利完成了课程设计。 参考文献 周景润等.cadence电路板仿真程序设计(第四版)[M].北京:电子工业出版社,2011.7 孙肖. CMOS集成电路设计基础(第二版)[M].北京:高等教育出版社,2008.12 赣南师范学院2013—2014 学年第_二_学期课程设计 行政班级 11级电子科学与技术 学号 姓名 课程设计题目: 格雷码变换器 设计要求: 1、 2、 3、 评分标准: 1、有合理的方案设计和论证、电路参数的计算、总原理图和清单。(0-40分) 2、电路仿真符合设计要求,并能正确显示电路仿真结果。(0-40分) 3、对课程设计进行了总结,有体会,并能提出设计的改进、建设性意见,并且课程设计书写正确,格式规范。(0-20分) 设计报告成绩: 教师签字: 年 月 日 选课班11级电子科学与技术 任课教师 陈建萍 成绩_ _

文档评论(0)

woai118doc + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档