EDA课程设计乐曲硬件演奏电路VHDL设计.docxVIP

EDA课程设计乐曲硬件演奏电路VHDL设计.docx

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
EDA课程设计乐曲硬件演奏电路VHDL设计

EDA课程设计题目:乐曲硬件演奏电路的VHDL设计专业:通信工程班级:通信082姓名:谢振峰学号:0810920213设计题目:乐曲硬件演奏电路的VHDL设计设计目标:1)能够播放“梁祝”乐曲。2)能够通过LED显示音阶。3)具有“播放/停止”功能,并在此基础上实现“按键演奏”的电子琴功能。设计原理:音乐基础知识一段简单乐谱由音调和节拍组成,音调表示一个音符唱多高的频率,节拍表示一个音符唱多长的时间。音符的节拍我们可以举例来说明。在一张乐谱中,我们经常会看到这样的表达式,如1=C 、1=G……等等。以为例加以说明,它表示乐谱中以四分音符为节拍,每一小结有三拍。比如:图1其中1 、2 为一拍,3、4、5为一拍,6为一拍共三拍。1 、2的时长为四分音符的一半,即为八分音符长,3、4的时长为八分音符的一半,即为十六分音符长,5的时长为四分音符的一半,即为八分音符长,6的时长为四分音符长。那么一拍到底该唱多长呢?一般说来,如果乐曲没有特殊说明,一拍的时长大约为400—500ms 。我们以一拍的时长为400ms为例,则当以四分音符为节拍时,四分音符的时长就为400ms,八分音符的时长就为200ms,十六分音符的时长就为100ms。原理图框图:图2.框图原理图说明音乐播放原理说明音符的频率由数控分频器模块Speakera产生。ToneTaba模块从NoteTabs模块中输入的音符数据,将对应的分频预置数据传送给Speakera模块,并将音符数据送到LED模块显示音阶。NoteTabs模块中包含有一个音符数据ROM,里面存有歌曲“梁祝”的全部音调,在此模块中设置了一个8位二进制计数器,作为音符数据ROM的地址发生器。这个计数器的计数频率为4Hz,即每一个数值的停留时间为0.25秒。例如:“梁祝”乐曲的第一个音符为“3”,此音在逻辑中停留了4个时钟节拍,即1秒钟时间,所对应的“3”音符分频预置数为1036,在Speakera的输入端停留了1秒。随着NoTabs中计数器按4Hz的时钟速率作加法计数时,随着地址的递增,音符数据ROM中的音符数据将从ROM中通过输出端口输入到ToneTaba模块,“梁祝”歌曲就开始连续自然地演奏起来。键盘演奏原理说明在模块ToneTaba中设置有八个键盘输入端口,分别对应基音“1”、“2”、“3”、“4”、“5”、“6”、“7”和高音“1”八种音调。当其中某个按键按下时,ToneTaba模块就将对应音符的分频预置数送到数控分频模块Speakera模块产生相应音调,同送将音符送到LED显示模块显示音节。设计内容取音调节拍模块NoteTabs图5:NoteTabs实体图模块实体由时钟输入信号clk、实现暂停与播放功能的使能输入信号en和音符输出端toneindex组成。时钟输入端clk接入4Hz信号,即0.25秒作为“梁祝”乐曲的基本时钟节拍。NoteTabs模块设置有个计数器,作为地址计数器,用于从模块内部的music_rom中取音符数据。NoteTabs模块仿真图如下:图4音频预置数及演奏按键模块ToneTaba图5:ToneTaba实体图该模块的实体组成端口由音符数据输入端index、音乐演奏或音乐播放选择输入端口yanzhou_en、八个按键输入端口key_1~key_8、及音阶数据输出端口code、音符分频数据输出端口tone组成。当端口yanzhou_en置‘1’时,进行按键音乐演奏功能,即将对应按键的的音阶的分频数据送至模块Speakera产生音调;当端口为‘0’时,进行音乐播放功能,即将NoteTabs模块输入的音符数据送至模块Speakera产生音调。ToneTaba模块仿真图如下:图6数控分频模块Speakera图7:Speakera模块实体图该模块由时钟输入信号端clk、分频数据输入端tone以及音调频率输出端spks组成。其clk端输入较高的频率(12MHz),通过Speakera分频后由spks输出。模块仿真图如下:图8软件流程图如下:图9仿真结果原理图设计:图10仿真结果图仿真图1:音乐播放图11仿真图1说明:音乐演奏或播放选择端yanzhou_en置‘0’,音乐播放、暂停选择键play_pause置‘0’,选择音乐播放功能,其中code端口输出的音符可送入译码器模块显示音阶。仿真图2:音乐暂停图12仿真图2说明:音乐演奏或播放选择端yanzhou_en置‘0’,选择音乐播放功能。当音乐播放、暂停输入端口play_pause置‘1’时,从图12与图11比较可知,其中的图12的音符6因为暂停而被延长,音乐播放被暂停,当play_pause重新置‘0’时,音乐开始继续播放。仿真图3:按键演奏音乐图13仿真图3说明:音乐演奏或播放选择端yanzhou_en置‘1’,选择按键音乐演奏功能。其中端口t

文档评论(0)

bokegood + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档