FPGA课程设计基于VHDL交通灯控制器设计.docVIP

FPGA课程设计基于VHDL交通灯控制器设计.doc

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA课程设计基于VHDL交通灯控制器设计

CPLD/FPGA开发技术大作业 题 目: 基于VHDL的交通灯控制器设计 基于VHDL的交通灯控制器设计 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯; 用两组数码管作为东西和南北方向的倒计时显示,显示时间为红灯45秒、绿灯50秒、黄灯5秒; 按照实际红绿灯规则,使红、黄、绿灯与其显示时间 设计思路及实现方法 1基本思路 要完成本实验,首先必须了解交通路灯的亮灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的亮灭规律为:初始态是两个路口的红灯全亮,之后东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 南北灯 东西灯 状态0 绿 红 状态1 黄 红 状态2 红 绿 状态3 红 黄 状态4 红 红 其中状态4为初始状态,其状态转换图如下: 值 状态 000 0 100 1 010 2 110 3 111 4 在结构体中包含一个进程,此进程带一个显示敏感信号表,其敏感信号包括TimeL,Times和Cars。所谓敏感信号表,如以下语句: Process(cars,timeoutL,timeoutS) 带显示敏感信号表的进程,当敏感信号表中的任何一个信号上发生事件时,此进程将被激活。 进程说明部分定义的几个变量的意义如下: newstate:下一个状态值。 current_state:当前状态值。 newHL:南北方向灯的状态,三位位长的二进制位串,每一位分别表示绿、黄红 灯的亮灭状态。如“100”表示路灯为红灯,“010”表示路灯为黄灯“001” 表示 路灯为绿灯 newFL:东西方向灯的状态,三位位长的二进制位串,每一位分别表示绿、黄红 灯的亮灭状态。 newST:用于启动外部计时器的输出位。 在进程中使用一条case语句完成状态的转换关系。 Case current_state is When 000=newHL:=“100”newFL:=“001”; if (Cars=1)and(timeoutL=1) then newstate:=100;newST:=1; newHL:=010;newFL:=001; else newstate:=000;newST:=0; end if; When 100=newHL:=“010”newFL:=“001”; if (timeoutS=1) then newstate:=010;newST:=1; newHL:=001;newFL:=100; else newstate:=100;newST:=0; end if; When 010=newHL:=“001”newFL:=“100”; if (Cars=1) or (timeoutL=1) then newstate:=100;newST:=1; newHL:=001;newFL:=010; else newstate:=010;newST:=0; end if; When 110=newHL:=“001”newFL:=“010”; if (timeoutS=1) then newstate:=000;newST:=1; newHL:=100;newFL:=001; else newstate:=110;newST:=0; end if; When 111=newstate:=000; newHL:=100;

文档评论(0)

bokegood + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档