EDA 实验VHDL 程序.doc

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA 实验VHDL 程序

(1)1011状态机 library ieee; use ieee.std_logic_1164.all; entity asdf is port(xi,rst,clk:bit; zo:out bit); end asdf; architecture asdf of asdf is type state is (zero,one, two,three,four); signal pre_state,nx_state:state; begin process(rst,clk) begin if(rst=1)then pre_state=zero; elsif (clkevent and clk=1)then pre_state=nx_state; end if; end process; process(pre_state) begin case pre_state is when zero=zo=0; if(xi=1) then nx_state=one; else nx_state=zero; end if; when one=zo=0; if(xi=0) then nx_state=two; else nx_state=one; end if; when two=zo=0; if(xi=1) then nx_state=three; else nx_state=zero; end if; when three=zo=0; if(xi=1) then nx_state=four; else nx_state=two; end if; when four=zo=1; if(xi=1) then nx_state=one; else nx_state=two; end if; end case; end process; end asdf; 2模24计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity couter2 is port(rst,clk: in std_logic; Q: out std_logic_vector(0 to 4)); end couter2; architecture couter2 of couter2 is begin process(rst,clk) variable d1:integer range 0 to 23; begin if(rst=0)then d1:=0; elsif(clkevent and clk=1) then d1:=d1+1; if(d123)then d1:=0; end if; end if; Q=conv_std_logic_vector(d1,5); end process; end couter2; 3百进制数码管显示 library ieee; use ieee.std_logic_1164.all; entity counter1 is port(clk,rst:in std_logic; output1,output2:out std_logic_vector(0 to 6)); end counter1; architecture bhv of counter1 is begin process(rst,clk) variable t1,t2:integer range 0 to 10; begin if(rst=1)then t1:=0; t2:=0; elsif(clkevent and clk=1)then t1:=t1+1; if(t19)then t1:=0; t2:=t2+1; if(t29) then t2:=0; end if; end if; end if; case t1 is when 0=output1=1111110; when 1=output1=0110000; when 2=output1=1101101; when 3=output1=1111001; when

文档评论(0)

jgx3536 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:6111134150000003

1亿VIP精品文档

相关文档