EDA技术应用项目12子课件..ppt

EDA技术应用项目12子课件..ppt

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共56页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术应用项目12子课件.

一、项目描述 了解数字频率合成(DDS)工作原理。 掌握DDS合成器三个主要模块:频率控制寄存器、高速相位累加器和正弦查找表。 本项目是采用ALTERA公司的Cyclone系列FPGA芯片利用直接数字频率合成的原理,实现一个频率、幅度、相位可调的正弦波信号发生器。 一、项目描述 二、项目资讯 可编程逻辑器件概述 FPGA与CPLD PLD开发软件 可编程逻辑器件的设计应用流程 数字频率合成(DDS)工作原理 LPM模块的调用方法 (前四项参见专题课件相应内容) 二、项目资讯 三、项目分析 三、项目分析 三、项目分析 DDS( Direct Digital Synthesizer )即直接数字合成器,是一种新型的频率合成技术,具有较高的频率分辨率,可以实现快速的频率切换,并且在改变频率的同时能够保持相位的连续,很容易实现频率、相位和幅值的数控调制。因此,在现代电子系统及设备的频率源设计中,尤其在通信领域,DDS应用越来越广泛。 三、项目分析 对于一个频率为 的正弦信号 ,可以用下式来描述: 三、项目分析 将2π切割成2N等份作为最小量化单位,从而得到△θ的数字量M为: 三、项目分析 当M取1时,可以得到输出信号的最小频率步进为: 三、项目分析 DDS正弦信号发生器原理框图: 三、项目分析 三、项目分析 四、项目实施 微机一台(Windows XP系统、安装好Quartus Ⅱ5.0等相关软件) EDA学习开发板一块 USB电源线一条 ISP下载线一条。 四、项目实施——1. 方案设计 四、项目实施——1. 方案设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——2. DDS子系统设计 四、项目实施——3.高速A/D转换电路设计 四、项目实施——4.模拟子系统设计 四、项目实施——4.模拟子系统设计 四、项目实施——4.模拟子系统设计 四、项目实施——5. 波形仿真 四、项目实施——6. 测试结果 四、项目实施——6. 测试结果 四、项目实施 电路调试: 拨动拨码开关SWD0,并按KEY0键提高或降低频率,观察示波器波形。 故障分析及排除: 在确认学习开发板无故障的前提下: 1.无论怎样拨动拨码开关和按键,数码管均没有显示。出现这种情况,很可能是管脚没有分配好,或者分配了管脚,但是没有重新编译,这是大多数同学容易犯得毛病。 2.按动按键,数码管显示数字不正确。出现这种情况,首先应检查电路设计是否有误,再检查管脚分配是否正确。 五、项目评价与总结提高 五、项目评价与总结提高 DDS合成器包括频率控制寄存器、高速相位累加器和正弦查找表三个主要模块。通过Quartus II软件自带的LPM库设计对应模块,并能结合C语言进行设计 Quartus II原理图设计的主要步骤包括:新建工程、建立编辑原理图设计文件、编译、仿真及器件编程等。 LPM_FF模块的调用 (5)本界面是设定模块的输入位数,所以选择32位。触发器模式选择D触发器。到此本模块的设定基本完成,一直点击next,直到最后点击finish,完成模块的设计。 分频模块(ALTPLL模块的调用) (1)新建一个电路原理图文件 (2)在原理图中空白处双击,出现下面对话框,点击图中红色标记按钮。 分频模块(ALTPLL模块的调用) (3)分别点击红色标记处按钮,进入LPM模块选择界面。 (4)选中红色标记中的AL

文档评论(0)

130****9768 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档