VHDL设计功能数字钟.docVIP

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL设计功能数字钟

EDA期末作业 (一)选题目的 学习使用QuartusII 9.0,巩固已掌握的EDA知识,增强自己的动手实践能力。 (二)设计目标 实现多功能数字钟的设计,主要有以下功能: ①计时,并且可以24小时制和12小时制转换。 ②闹钟 ③整点报时 ④秒表 (三)实现方案 该课题的实现过程大体如下:先对4MHZ的信号进行分频使其变为1HZ;将该信号加入计数器中(模60和模24/12)实现基本时钟功能;然后在此基础上加入闹钟,秒表,整点报时,24/12小时制转换模块;最后在动态显示电路中实现上述功能。 (四)设计过程、模块仿真及实现结果 一、 分频器 分频器的VHDL语言为(4M分频) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpinqi is port( clk_in : in std_logic; clk_out : out std_logic); end fenpinqi; architecture behivor of fenpinqi is signal cou : std_logic_vector(21 downto 0); begin process(clk_in) begin if clk_inevent and clk_in=1 then cou=cou+1; end if; end process; process(cou) begin clk_out=cou(21); end process; end architecture behivor; 完成4Mhz到1hz的转换 仿真结果略。 二、计时器(模60,模24,模12) 模60设计的电路图如下 模24/12计数器如下 合成模块分别如下 仿真波形如下 M60 波形分析:ql[3..0]从0变到9,qh[3..0]从0变到5,当clk经过60个周期后,co输出一个脉冲。从而实现模60计数器的功能。 M24/12 模12计数器(sv6=0) 模24计数器(sv6=1) 波形分析:由于要进行24/12小时制的转换,所以加入开关sv6来控制转换模24和模12计数器。由波形图可以看出,模24和模12功能均已实现。 计时器总电路为 三、动态显示功能 1、由sv3和sv8来控制转换正常计数器、闹钟、秒表的转换。当sv3=0、sv8=0时,显示正常计时器;当sv3=0、sv8=1时,显示秒表;当sv3=1时,显示闹钟。实现此功能的VHDL语言如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mand is port( sv3,sv8 : in std_logic; sl,sh,ml,mh,hl,hh,ap,d,rsl,rsh,rml,rmh,rhl,rhh,rap,rd,swa,swb,swc,swd:in std_logic_vector(3 downto 0); asl,ash,aml,amh,ahl,ahh,aap,ad: out std_logic_vector(3 downto 0) ); end mand; architecture arc of mand is signal tmp:std_logic_vector(3 downto 0); begin process(sv3) begin if(sv3=0)then if sv8=0 then asl=sl;ash=sh;aml=ml;amh=mh; ahl=hl;ahh=hh;aap=ap;ad=d; else asl=swa;ash=swb;aml=swc;amh=swd; ahl=1010;ahh=1010;aap=0000;ad=1010; end if; else asl=rsl;ash=rsh;aml=rml;amh=rmh; ahl=rhl;ahh=rhh;aap=rap;ad=rd; if(rap=0010and rhh=0000 and rhl=0000)then ahh=0001;ahl=0010; end if; end if; end process; end arc; 2、数据选择器VHDL语言描述如下: library

文档评论(0)

130****9768 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档