简易交通信灯控制器.doc

  1. 1、本文档共22页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
简易交通信灯控制器

长 安 大 学 电 子 技 术 课 程 设 计 简易交通信号灯控制器 专 业 : 班 级 : 姓 名 : 指导教师 : 日 期 :2008.12.30 前 言 数字电子技术课程设计是数字电子技术课程的实践环节,是对学生学习数字电子技术的综合训练.学生根据某一课题技术指标或逻辑功能的要求,分组进行电路设计,实验测试与调整,写出实验总结报告.通过这一综合性实践训练,深化所学的理论知识,巩固和加深数字电路的应用,培养综合运用所学知识的能力, 并提高自己的实践动手能力, 掌握基本数字应用电路的一般设计方法, 加深对相关软硬件知识的理解,提高电子电路的设计和实验能力,增强独立分析问题与解决问题的能力,培养学生严肃认真的工作态度和科学作风,为今后从事电路设计和研制电子产品打下初步基础. 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十路口交通管理的自动化.)) 本次设计一个包含主干道和支干道的十字路口的交通控制电路,要求每个方向有三盏灯,分别为红,黄,绿并配以红,黄,绿三组时间倒计时显示。具体要求如下: 设计一个十字路口的交通灯控制电路,要求道和道两条交叉道路上的车辆交替运行,要求黄灯先亮5秒,才能变换运行车道 3.。 5. 用数码管显示倒计数。 电路的具体运行状态图如图1所示: 图1 交通灯运行状态分析图 三、方案论证与选择 1.系统总体方案选择 定时电路和倒计数电路计数芯片的选取上; (1) 选取74LS163(或74ls161 ,74LS1600) (2) 利用移位寄存器74LS194作为控制电路,先对它置数,然后它在定时电路的脉冲作用下,按照提前置好的数做移位,由移位输出端控制信号灯和倒计数电路。 方案2的选择: 控制电路的设计我们选择第二种方案,利用移位寄存器可以节省芯片,电路简单,而且移位寄存器输出有保持功能,直接接信号灯和倒计数控制电路。 六、1.系统工作流程图 根据设计题目的要求,主干道通行时间为45s,支干道通行时间为25s,主、支干道黄灯亮的时间均为5s。系统工作流程图如图(2)所示: 图2 系统工作流程图 系统工作工作流程图分析: (1) 主干道绿灯亮,道红灯亮。表示道上的车辆允许通行,道禁止通行; 2) 等到45秒后,主干道的绿灯,有常亮的黄灯提示司机注意,此时绿灯已灭; 3) 等到5秒倒计时结束,主干道红灯亮,道灯亮,干道的车量通行时间为2秒; 4) 等到25秒倒计时结束,主干道仍然是红灯亮,道黄灯亮2.系统电路结构框图 系统电路的整体结构由脉冲电路,定时电路,控制电路,倒计数电路,信号灯显示电路和数字计数显示电路六部分构成。脉冲电路产生的脉冲给定时电路和倒计数电路提供秒脉冲,定时电路产生定时脉冲给控制电路信号,控制信号灯和倒计数电路的计数,倒计数电路驱动数码管,显示两路信号灯的倒计数时间。 具体的结构图如图(3) 图3 电路结构框图 3. 设计总电路图:电路结构框图分析: (1) 脉冲发生器用来产生电路需要的秒脉冲; 异步清零的定时器是在脉冲控制下,计数(80进制),产生设计需要的定时脉冲来 控制电路的工作; 移位寄存器控制电路,主要在定时器的定时脉冲作用下,输出控制信号控制主支干道 信号灯和倒计数电路的工作; 倒计数电路在控制电路的不同控制信号下,分别置不同的数,然后在脉冲点的脉冲信 号作用下倒计数,由其输出驱动数码管显示倒计数。 图4 设计总电路图 电路工作流程:在总电路工作前,现将四个开关(J1,J2,J3,J3)、μF,则有R1=40 k?,R2=50 k?。脉冲发生器设计如图(5),工作原理图如图(6): 图5 脉冲发生电路 图6 555工作原理 脉冲周期计算公

文档评论(0)

linjuanrong + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档