电子设计自动化的技术实验.doc

  1. 1、本文档共38页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子设计自动化技术实验 实验一 熟悉Quartusll的图形输入法 实验目的 掌握Quartusll的使用方法 熟悉图形输入法 理解编译方法 了解定时仿真 实验内容 设计一个二选一数据选择器,全加器 根据图形输入法编译和波形仿真 实验要求 熟悉图形逻辑输入法 理解编译方法,了解功能仿真的方法和定时仿真的方法 了解把逻辑变成一个逻辑符号的方法 4、实验步骤 (1)建立设计项目 在Quartusll管理器窗口中选择菜单file\new project wizard…,出现新建项目向导new project wizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名mux 21-3 新建项目向导第二页,单击按钮”…”,可浏览文件选项,添加或删除与该项目有关的文件,然后next 新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next 新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具 在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,mux21-3项目出现在项目导航窗口 2、输入文本文件 新建VHDL文本文件,在Quartusll管理器界面中选择菜单file\new…,或单击新建文件按钮,出现new对话框,在Design File…中选择VHDL File,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存 输入二选一数据选择器文件为 Library ieee; Use ieee.std_logic_1164.all; Entity mux21_3 is Port(i0,i1,sel:in std_logic;y:out std_logic); End mux21_3; Architecture verl of mux 21_3 is Begin With sel selet y=i0 when’0’; i1 when’1’; ‘X’ when others; End verl; 执行编译 设置顶层文件:首先打开准备进行编译的mux21-3.vhd,执行菜单命令project/set as TOP_level Etity,下面进行设计处理的各项操作就是针对顶层文件mux21-3进行的。 执行编译:选择菜单project\start compilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译 4、波形仿真 (1)新建波形文件 选择菜单file\new…,在new 对话框中选择Verfication\Debugging File\vector waveform file,出现波形编辑窗口,将文件保存为mux21-3.vwf (2)设置仿真器 鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignments\setting…,在settings对话框的Category栏目下选择Simulator Settings,在右侧出现的对话框中设置仿真器,选择Functional 插入仿真节点 在波形编辑窗口的name栏目下,单击鼠标右键,选择Insert\Insert node or bus …,出现Insert node or bus的对话框,查找节点信息,插入节点 选择合理的筛选范围,单击list,列出所选节点信号,接着在nodes found栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selected nodes栏目下,列出选中节点,全部节点选择完成后,按ok确认 5、编辑输入波形 选择菜单Edit\End Time…,根据需要修改最大仿真时间 选择菜单Edit\Grid size…,根据需要修改网格大小 6、运行仿真器 选择菜单Projecting\start simulation或者单击工具栏中的仿真快捷键按钮运行仿真程序 仿真得到的图形如下 原理图文件输入 建立原理图文件 选择菜单file\new…在出现的对话框中选择Design File\Block Diagram\Schematic File,则打开图形编辑器,出现空白的原理图文件,选择菜单file\Save as…,输入文件名,保存该文件 使用模块符号库 图形编辑器的左侧是输入按钮,最常用的是模块符号组,单击模块符号按钮,打开symbol对话框,在对话框中,输入各种逻辑电路符号,绘制电路图如下 对该电路图进行仿真,仿真图形如下 实验二 熟悉Quarstusll的VHDL语言描述输入法 一、实验目的 VHDL语言描述输入法 理解编

文档评论(0)

189****6140 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档