基于VHDL的自动售货机设计_毕业设计论文.doc.doc

基于VHDL的自动售货机设计_毕业设计论文.doc.doc

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于VHDL的自动售货机设计_毕业设计论文.doc

黄山学院本科毕业论文 PAGE PAGE 1 本科生毕业论文(设计) 基于VHDL的自动售货机设计 黄山学院本科毕业论文 目 录 中 文 摘 要…………………………………………………………………………… 2 英 文 摘 要…………………………………………………………………………… 3 引 言…………………………………………………………………………………… 4 TOC \o 1-3 \h \z \u HYPERLINK \l _Toc289882503 1. 介绍及设计任务 5 HYPERLINK \l _Toc289882504 1.1 EDA技术 5 HYPERLINK \l _Toc289882505 1.1.1 EDA的介绍与发展 5 HYPERLINK \l _Toc289882506 1.1.2 EDA的设计流程 5 HYPERLINK \l _Toc289882507 1.1.3 VHDL介绍 5 HYPERLINK \l _Toc289882508 1.2 自动售货机的应用与设计背景 6 HYPERLINK \l _Toc289882509 1.2.1 自动售货机的应用介绍 6 HYPERLINK \l _Toc289882510 1.2.2 自动售货机的设计要求 6 HYPERLINK \l _Toc289882511 2. 系统分析与设计方案 7 HYPERLINK \l _Toc289882512 2.1 系统分析 7 HYPERLINK \l _Toc289882513 2.2 系统设计方案 7 HYPERLINK \l _Toc289882514 3. Max+PlusⅡ设计过程 9 HYPERLINK \l _Toc289882515 3.1 Max+PlusⅡ的介绍 9 HYPERLINK \l _Toc289882515 3.2 各模块的VHDL设计 11 HYPERLINK \l _Toc289882516 3.2.1 货物信息的存储模块的设计 12 HYPERLINK \l _Toc289882517 3.2.2 进程控制模块的设计 12 HYPERLINK \l _Toc289882518 3.2.3 硬币处理模块的设计 13 HYPERLINK \l _Toc289882517 3.2.4 余额计算模块的设计 14 HYPERLINK \l _Toc289882518 3.2.5 显示功能模块的设计 15 HYPERLINK \l _Toc289882519 3.3 顶层电路的设计流程 16 HYPERLINK \l _Toc291441283 3.4 仿真功能与测试 17 HYPERLINK \l _Toc289882520 3.4.1 编译及仿真 17 HYPERLINK \l _Toc289882521 结束语 21 HYPERLINK \l _Toc289882522 参考文献 22 HYPERLINK \l _Toc289882523 致谢 23 基于VHDL的自动售货机设计 ***** 指导老师:**** (****信息工程学院,*******) 摘 要:在当今社会随着电子技术获得了飞速发展的情况下,现在电子产品几乎渗透了社 会的各个领域,有力的推动了社会生产里的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快?首先EDA技术在进入21世纪后,得到了很大的发展,其基本特征是采用高级语言描述,具有系统级仿真和综合能力?VHDL硬件描述语言是涉及源文件可以采用类似与C语言的书写形式,并采用结构设计方法?通过VHDL为基础设计了一种自动售货机的设计?整个设计以两个计数器为核心,30秒计数器通过与按键联合控制整个购买过程;8秒计数控制饮料出口开关的自动开关,从而达到控制输出流量?全文提供了投币信号处理,购买过程处理,开关流量控制几个主要控制模块的设计思路及方案?并给出了各模块和总体系统设计的仿真? 关键词:VHDL; 投币; 计数器; 控制 The design of vending machine based on VHDL **** Director:**** (Information Engineering College, ****) Abstract:Electronic technology has experienced rapid growth, now in its electronic product almost permeated ever

文档评论(0)

133****9031 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档