第11章--DXP环境下的FPGA设计.pptVIP

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第11章--DXP环境下的FPGA设计

清华大学出版社 * 清华大学出版社 Page * 第11章 DXP环境下的FPGA设计 FPGA设计初步 11.1 对VHDL和原理图的混合设计与仿真 11.2 FPGA属性设置 11.3 Protel DXP和Altera FPGA接口 11.4 11.1 FPGA设计初步 FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,它具有体系结构和逻辑单元灵活、集成度高级适用范围宽的特点,兼容了PLD和通用门阵列的特点,同一片FPGA通过不同的编程数据可以产生不同的电路功能,因此可以实现大规模的集成电路设计。在进行FPGA项目设计之前,首先要了解FPGA设计的相关基础知识,包括基本概念、设计流程等。 11.1.1 FPGA的基本概念 11.1.2 FPGA设计流程 11.1.3 VHDL语言简介 * 清华大学出版社 Page * 11.1.1 FPGA的基本概念 FPGA是现场可编程门阵列(Field Programmable Gate Array)的简称,通常包含三类可编程资源:可编程逻辑功能块、可编程I/O块和可编程内部互连。 可编程逻辑功能块:它是实现用户功能的基本单元,它们通常排列成一个阵列,散布于整个芯片; 可编程I/O块:用于完成芯片上逻辑与外部封装脚的接口,常围绕着阵列排列于芯片四周; 可编程内部互连:它包括各种长度的连线线段和一些可编程连接开关,它们将各个可编程逻辑块或I/O块连接起来,构成特定功能的电路。 * 清华大学出版社 Page * FPGA内部多采用查找表的结构。 查找表简称为LUT (Look-Up-Table),LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT都可以看成一个具有4位地址线的16×1的RAM。 基于查找表(LUT)的FPGA的结构 采用这种查找表结构的FPGA有Altera的ACEX和APEX系列及Xilinx的Spartan和Virtex系列等。 查找表结构的FPGA逻辑实现原理 * 清华大学出版社 Page * 11.1.2 FPGA设计流程 1.创建FPGA项目 2.设置FPGA项目的属性 创建好FPGA项目之后,还需要对相关属性,如错误报告、比较器、综合和仿真等进行设置,以帮助项目的设计和优化。 3.VHDL编译环境 在已创建的FPGA项目中,执行菜单命令【文件】/【创建】/【VHDL文件】,在项目文件夹中将自动生成一个VHDLl.Vhd文件VHDLl.Vhd文件。同时,此文件在主窗口中被打开,处于文本编辑器状态。 * 清华大学出版社 Page * 11.1.3 VHDL语言简介 目前最主要的硬件描述语言是VHDL和Verilog HDL。 VHDL是超高速集成电路硬件描述语言(Very High Speed Integrated Circuit Hardware Description Language)的简称,它发展得较早,语法严格; Verilog HDL是在C语言的基础上发展起来的一种硬件描述语言,语法较自由。 一个完整的VHDL程序包括实体(entity)、结构体(architecture)、配置(configuration)、包 ( package)、库(library)5个部分。其中,前4个部分是可分别编译的源设计单元。VHDL程序结构可以用图11-10表示。 * 清华大学出版社 Page * * 清华大学出版社 Page * 图11-11 VHDL程序结构 1.实体说明 实体说明是一个器件的外观视图,即从外部看到的器件外貌,包括端口等。实体说明也可以定义参数,并把参数从外部传入模块内部。任何一个基本设计单元的实体说明都具有如下结构: ENTITY实体名IS [GENERIC(类属表);] [PORT(端口表);] 实体说明部分; [BEGIN 实体语句部分;] END [ENTITY][实体名]; [ ]中的内容是可选的,即可以没有这部分内容。 * 清华大学出版社 Page * 2.端口说明 端口说明是对设计实体与外部接口的描述,也可以说是对外部引脚信号的名称、数据类型和输入输出方向的描述。端口为设计实体和其外部环境通信的动态信息提供通道,其功能对应于电路图符号的一个引脚。实体说明中的每一个I/O信号被称为一个端口,一个端口就是一个数据对象。每个端口必须有一个名字、一个通信模式和一个数据类型。是实体的重要组成部分。端口说明的一般格式为: Port(端口名:模式 数据类型名; 端口名:模式 数据类型名); * 清华大学出版社 Page * 3.结构体 结构体是次级设计单元,具体指明了该设计实体的结构或

文档评论(0)

zhengshumian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档