Verilog-教程(第三版)夏闻宇-第三部分练习题答案.docxVIP

Verilog-教程(第三版)夏闻宇-第三部分练习题答案.docx

  1. 1、本文档共6页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
Verilog-教程(第三版)夏闻宇-第三部分练习题答案

设计一个字节(8位)的比较器,要求:比较两个字节的大小,如a[7:0]大于b[7:0],则输出高电平,否则输出低电平;并改写测试模型,使其能进行比较全面的测试。 模块源代码: 测试模块的源代码: `timescale 1ns / 1psmodule t; `timescale 1ns / 1ps module t; reg [7:0] a; reg [7:0] b; reg clock; wire out; initial begin a = 0; b = 0; clock=0; end always #50 clock=~clock; always @(posedge clock) begin a={$random}%256; b={$random}%256; end initial begin #1000 $stop;end compare uut (.out(out), .a(a), .b(b)); endmodule module compare(out,a,b); input [7:0] a,b; output out; reg out; always @(a or b) begin if(ab) out=1; else out=0; end endmodule 仿真结果: 由图有:当a=8b=8即ab时),输出out为高电平;当a=8b=8即ab时),输出out为低电平,故满足要求。 2.依然作clk_in的2分频clk_out,要求输出时钟的相位与上面的1/2分频器的输出正好相反。编写测试模块,给出仿真波形。 书上1/2分频器时序波形 模块源代码: 测试模块源代码: `timescale 1ns / 100psmodule top; reg clk; `timescale 1ns / 100ps module top; reg clk; reg reset; wire clk_out; always #50 clk=~clk; initial begin clk = 0; reset = 1; #10 reset=0; #110 reset=1; #100000 $stop; end half_clk uut (.clk_in(clk), .reset(reset), .clk_out(clk_out)); endmodule module half_clk(clk_in,reset,clk_out); input clk_in,reset; output clk_out; reg clk_out; always @(posedge clk_in) begin if(!reset) clk_out=1; else clk_out=~clk_out; end endmodule 仿真波形: 由上图可知clk_out的输出波形相位与前面输出波形相反。 3. 利用10 MHZ的时钟,设计一个单周期形状的周期波形。 分析:时钟信号f=10MHZ,分频1/20,f1=500KHZ时,j从0计到9(10个数)跳转完成半个周期,T0/2 =0.1us;图中可把T分为三段即T1=T3=20us=200*0.1us(所以在T1阶段j要计200个数),而T2=10us可用延迟10000ns翻转达到。图中T=50us之后不显示,所以测试时到达50000ns时停止。故其模块源代码和测试模块源代码如下: 模块源代码: 测试模块源代码: module fdivision(reset,F10M,F500K);input reset,F10M; module fdivision(reset,F10M,F500K); input reset,F10M; output F500K; reg F500K; reg [7:0] j; always @(posedge F10M) begin if(!reset) begin F500K=0; j=0; end else if(j==199) begin j=0;F500K=~F500K; #10000 F500K=~F500K; end else j=j+1; end endmodule `timescale 1ns /

文档评论(0)

zhengshumian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档