pwm信号发生器实验报告.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
pwm信号发生器实验报告.doc

EDA 学院:电气学院 班级:电科1班 学号:12401720126 姓名:刘明煌 实验三PWM信号发生器的设计 实验目的 进一步熟悉掌握Quartus II。 进一步熟悉和掌握GW48-CK或其他EDA实验开发 系统的应用。 学习和掌握VHDL进程语句和元件例化语句的使用。 实验内容 设计并调试好PWM信号发生器电路PWM.VHD,并用 GW48-CK或其他EDA实验开发系统进行硬件验证。 实验条件 开发软件:Quartus II。 实验设备:GW48-CKEDA实验开发系统。 拟用芯片:EP2C8Q208C8N。 实验设计 系统原理框图 为了简化设计并便于显示,本信号发生器电路PWM的设计 分为两个层次,其底层电路可,再由包括两个完全相同的加 载加法计数器LCNT8而成。 PWM电路 Cyclon? II EP2C5Q20eC8 ?淼 PWfl?说 ITFT8 VI 以 LCFT8 U2cbIn:pr:Fil妝ZBOO hHl?o$ Cyclon? II EP2C5Q20eC8 ?淼 PWfl ?说 ITFT8 VI 以 LCFT8 U2 cbIn:pr:Fil妝 ZBOO h Hl?o$ fels- kcQE 典 K Bp LCMT8.U2 SPWM cu: in CAO OM LCMT8U1 CNA CLR TypeInessage V. w w .w 田 田 InCo: Coximand: quactus_slu --cead_3ettmgs_£iles=on --wcite_setting3_Ciie3=oC£ pum -c pwu Info: Using vector source rile ”CDocuments and Settirigs/Ovner/jftffi/maa/pwn/pim.vur. Into: Option to pcesecve fewer signal transitions co reduce mexxory requicenents is enabled Into: Simulation partitioned into 1 sub-3imulations Info: Simulation coverage is 77.33 % Info: Munbec of transitions m simulation is 50002 Inco: Quactus II Smulacor was successful. 0 errors, 0 uatnmgs 5Z Quartus II - C:/Docuents and Settings/Orner/京面/ni^a/po/po -pn - [RTL Viewer] 咬 File Elit Vie* Project Assi^ixtents Processing Tools Windov Help -5 X □ B 0 ■ X 电 |pwn d M Z Prnlpft KlAvin^nr x ~: 1 / O ? O p学 I 1 of 1 !?|\ System (27) Processing (9) fy Extra Info \ Info (9) \ Warnrg \ Critical V/arnng 入 Error 入 Stppresied 入 Flag / C |Messag? 0rf 16~ —土土J[T= For H^lp^ pr?ss FIQu^rtus n - C Z~ CATmmz 1:49 For H^lp^ pr?ss FI Qu^rtus n - C Z ~ CAT mm z 1:49 VHDL程序 PWM信号发生器的底层和顶层电路均采用VHDL文本输 入,有关VHDL程序如下。 加载加法计数器LCNT8的VHDL源程序: LIBRARY IEEE; USE IEEE.STD LOGIC 1164.ALL; ENTITY LCNT8 IS PORT(CLK,LD:IN STD_LOGIC; D:IN INTEGER RANGE 0 TO 255; CAO:OUT STD一LOGIC); END ENTITY LCNT8; ARCHITECTURE ART OF LCNT8 IS SIGNAL COUNT:INTEGER RANGE 0 TO 255; BEGIN IF CLKEVENT AND CLK= 1 THEN IF LD=1THEN COUNTED; ELSE COUNT=COUNT+1; END IF; END IF; END PROCESS; PROCESS(COUNT) IS BEGIN IF COUNT=255 THEN CAO=1; ELSE CAO=0 END IF; END PROCESS; E

文档评论(0)

ggkkppp + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档