设计一个四变量的多数表决器.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
完美WORD格式 专业 知识分享 数字电子课程设计 设计一个四变量的多数表决器 班级: 姓名: 学号: 指导老师: 课题:设计一个四变量的多数表决器(通过为“1”,不通过为“0”) 一.摘要 通过与非门实现四变量表决电路(A.B.C.D)。每人一个按键,如果同意则按下,不同意则不按。结果用指示灯表示,多数同意时指示灯亮,否则不亮。当有三人或四人按键(输入变量有三个或四个“1”)时,指示灯亮,表明表决通过(输出量为“1”),否则表明表决没通过(输出量为“0”)。 关键词: 与非门 四变量 表决器 英语翻译 Abstract: Through sr and realize four variables voting circuit (A.B.C.D). One key, if agreed to the press, dont agree with is not press. The results with indicator said most consent indicator, otherwise not bright. When three or four people button (input variables has three or four 1), the indicator light, show that vote through (output for 1), or show that vote didnt pass (output is 0). Key words : Nand Four variables Voter 二.设计要求 四变量输入,表决通过为“1”,不通过为“0”。 三. 设计步骤 1.确定输入、输出变量 ①输入变量:A、B、C、D ―――→ 四名评判员 ②输出变量: F ―――→ 灯 ③用正逻辑表示:A=1,表示同意,A=0表示判不同意; B=1,表示同意,B=0表示判不同意; C=1,表示同意,C=0表示判不同意。 D=1,表示同意,C=0表示判不同意。 F=1,表示灯亮,F=0表示灯不亮。 2、列出真值表 输入变量 输出变量 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 3、函数式 _ _ _ _ F=ABCD+ABCD+ABCD+ABCD+ABCD 4、卡诺图 5、根据卡诺图对函数式进行化简 _ _ _ _ F=ABCD+ABCD+ABCD+ABCD+ABCD 6、画逻辑电路图 根据化简的表达式画出对应的逻辑电路图。 四.仿真结果 1、仿真图 eq \o\ac(○,1) 当四人都同意即开关都闭合,灯亮。 eq \o\ac(○,2)当三人同意,即有三个开关闭合,灯也亮。 eq \o\ac(○,3)当有两人同意是,即有两个开关闭合,灯不亮。 2、结果: 经过仿真,设计符合要求。 五.体会 本次设计过程中,我经过查阅很多资料,向老师和同学们请教,不断思索,最终完成了本次设计设计过程中提高了我的理解以及分析能力,理论和实践相结合,不仅巩固了我的理论知识,同时更提高了我的实践能力,使我受益匪浅。最后,感谢在本次设计中老师、同学们对我的帮助和支持。 六.结束语 成功来自不断努力,只要努力了,成功一定会属于我们!

文档评论(0)

文档分享 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档