计数器7段数码管控制接口技术课程设计报告材料.doc

计数器7段数码管控制接口技术课程设计报告材料.doc

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实用标准 PAGE 精彩文档 实用标准 精彩文档 《EDA技术综合设计 》 课程设计报告 报 告 题 目:计数器7段数码管控制接口技术 作者所在系部: 作者所在专业: 作者所在班级: 作 者 姓 名 : 作 者 学 号 : 指导教师姓名: 完 成 时 间 : 内 容 摘 要 掌握VHDL语言基本知识,并熟练运用VHDL语言来编写程序,来下载实践到硬件上,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。利用VHDL语言设计一个七段数码管控制引脚,在时钟信号的控制下,使6位数码管动态刷新显示十进制计数器及其进位,十二进制计数器,四位二进制可逆计数器,六十进制计数器的计数结果,这期间需要seltime分频器来动态的给各个计数器分配数码管,并显示数字的变化。 关键词:VHDL语言 编程 七段数码管控制引脚 芯片 目 录 一 概 述 ………… ……………………………………………………………1 二 方案设计与论证……………………………………………………………………1 三 单元电路设计与参数计算…………………………………………………………1 3.1数码管译码器……………………………………………………………………1 3.2 十进制计数器……………………………………………………………………2 3.3六十进制计数器 …………………………………………………………………3  3.4四位二进制可逆计数器…………………………………… ……………………5 3.5时间数据扫描分时选择模块……………………………………… ……………6 3.6顶层文件……………………………………………………… …………………8 四 总的原理图…………………………………………………………………………9 五 器件编程与下载……………………………………………………………………9 六 性能测试与分析(要围绕设计要求中的各项指标进行)………… ……………10 七 实验设备……………………………………………………………………………10 八 心得体会……………………………………………………………………………10 九 参考文献……………………………………………………………………………10 课程设计任务书 课题 名称 7段数码管控制引脚 完成 时间 2011. 12.12 指导 教师 胡辉 职称 副教授 学生 姓名 庄仲 班级 B09212 总体设计要求和技术要点 通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。 具体要求: 1.设计一个共阴7段数码管控制接口,在硬件时钟电路的基础上,采用分频器,输出一个1S的时钟信号,同时显示2、3、4所要求的计数器。 2.设计一个带使能输入、进位输出及同步清0的增1十进制计数器。 3.设计一个带使能输入及同步清0的六十进制同步加法计数器; 4.设计一个四位二进制可逆计数器; 工作内容及时间进度安排 第16周: 周一、周二:设计项目的输入、编译、仿真 周三:器件编程下载与硬件验证 周四:成果验收与总结 周五:撰写课程设计总结报告 课程设计成果 把编写好的程序下载到试验箱,使数码管能够按照编写的程序显示出正确的结果,实验成功。 一、概述 设计一个共阴7段数码管控制接口,在硬件时钟电路的基础上,采用分频器,输 出一个1S的时钟信号。一个带使能输入、进位输出及同步清0的增1十进制计数器。一个带使能输入及同步清0的六十进制同步加法计数器和一个四位二进制可逆计数器。要求:在时钟信号的控制下,使6位数码管动态刷新显示上述计数器的计数结果。 二、方案设计与论证 首先VHDL语言编写底层文件和三个计数器的程序,再编写分频器和数码管的程序,最后用例化语句编写顶层文件,分别都调试在确认无误后把顶层文件下载到试验箱,连接导线,完成后实现在时钟信号的控制下,6位数码管动态刷新显示三个计数器的技术结果。 三、单元电路设计 1.数码管译码器程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_uns

您可能关注的文档

文档评论(0)

dmz158 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档