04.verilog基础模块指南.pdf

  1. 1、本文档共33页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
芯驿电子科技(上海)有限公司 021 Verilog 基础模块 黑金动力社区2018-01-18 1 简介 本文主要介绍 verilog 基础模块 ,夯实基础,对深入学习 FPGA 会有很大帮助。 2 数据类型 2.1 常量 整数 :整数可以用二进制 b 或 B ,八进制o 或 O ,十进制d 或 D ,十六进制h 或 H 表示,例 如, 8’表示 8 位位宽的二进制整数,4’ha 表示 4 位位宽的十六进制整数。 X 和 Z :X 代表不定值,z 代表高阻值,例如,5’b00x11 ,第三位不定值,3’b00z 表示最低位 为高阻值。 下划线 :在位数过长时可以用来分割位数,提高程序可读性,如8’b0000_1111 参数 parameter: parameter 可以用标识符定义常量,运用时只使用标识符即可,提高可读性 及维护性,如定义 parameter width = 8 ; 定义寄存器 reg [width-1:0] a; 即定义了 8 位宽度的寄存器。 参数的传递:在一个模块中如果有定义参数,在其他模块调用此模块时可以传递参数 ,并可 以修改参数,如下所示 ,在module 后用# ()表示。 例如定义模块如下 调用模块 module rom module top() ; #( parameter depth =15, wire [31:0] addr ; parameter width = 8 wire [15:0] data ; ) wire result ; ( input [depth-1:0] addr , rom input [width-1:0] data , #( output result .depth (32), ) ; .width (16) ) 黑金FPGA 版权所有 1/33 endmodule r1 ( .addr(addr) , .data (data) , .result(result) ) ; endmodule Parameter 可以用于模块间的参数传递,而 localparam 仅用于本模块内使用,不能用于参数 传递。Localparam 多用于状态机状态的定义。 2.2 变量 变量是指程序运行时可以改变其值的量,下面主要介绍几个常用了变量类型。 2.2.1 Wire型 Wire 类型变量,也叫网络类型变量,

您可能关注的文档

文档评论(0)

眉间尺 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档