6 定时器计数器.ppt

  1. 1、本文档共49页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
6 定时器/计数器 ? 在测量控制系统中,常需要有实时时钟和计数器,以实现定时(或延时)控制以及对外界事件进行计数。 ? 常用的定时(或延时)方法有: ? 软件延时 ? 硬件定时 ? 可编程定时器/计数器 ? 80C51中有两个可编程定时器/计数器T0、T1。 一、定时器/计数器的工作原理 ? 定时器/计数器的结构(以T1工作于方式1为例) ? 计数器的初值与计数值 ?工作模式 ?计数:对输入引脚T0(P3.4)、T1(P3.5)的脉冲进行计数。 计数次数X=216-计数初值 ?定时:将时钟脉冲经12分频后的脉冲(即机器周期脉冲)作为计数的脉冲源。 定时时间Tc=X?TM=(216-初值) ? 12/fosc 即对机器周期脉冲TM进行计数。 ?二者的主要区别在于计数脉冲的来源不同。 ? 定时器/计数器的运行控制 二、定时器/计数器的控制寄存器 (1)定时器控制寄存器TCON TCON可位寻址! (2)定时器工作方式寄存器TMOD 3. 方式1的应用 (1)定时器/计数器的应用步骤 ?合理选择定时器的工作方式 ?计算计数初值 计数:计数初值=216-计数值X 定时:计数初值=216-定时时间Tc/机器周期TM =216-Tc?fosc/12 ?编写应用程序 ?定时器/计数器的初始化:定义TMOD、写入定时初值、设置中断系统、启动定时器运行。 ?定时器/计数器的中断服务程序。 (2)定时器/计数器应用举例 【例】利用定时器T0定时,在P1.0引脚输出周期为1毫秒(频率为1KHz)的方波信号。(fosc=6MHz) 分析: ?按题义,就是要将P1.0的输出信号每间隔500?s翻转一次。选择T0工作于定时、方式1、定时时间为500?s。TMOD=0000 0001B=01H ?计算初值 fosc=6MHz ?机器周期TM=2 ?s 要定时500 ?s 即要计250个机器周期脉冲 ?初值=216-250=65286=FF06H ORG 0000H LJMP MAIN ;转主程序 ORG 000BH ;T0中断服务程序入口地址 LJMP T0 ;转T0中断服务程序 ORG 0030H ;主程序 MAIN:MOV SP,#60H ;设置堆栈指针 MOV TMOD,#01H ;T0工作于定时、方式1 MOV TH0,#0FFH MOV TL0,#06H ;置定时器初值 SETB EA ;中断总允许 SETB ET0 ;允许T0中断 SETB TR0 ;启动T0运行 SJMP $ ;实际应用中,这里应是其他程序 T0: MOV TL0,#06H ;中断服务程序,从000BH跳转 ;过来 MOV TH0,#0FFH ;重新装入初值 CPL P1.0 ;输出取反 RETI ;中断返回 ? 定时器/计数器的工作方式 ? 工作方式0--13位计数器方式 ? 工作方式1--16位计数器方式 ? 工作方式2--8位自动重装初值方式 ? 工作方式3--仅T0可用* T0方式3下的T1工作方式: ? 定时器/计数器的综合应用 ?工作方式2的应用 【例】利用定时器T0定时,在P1.0引脚输出周期为1ms(频率为1KHz)的方波信号。(fosc=6MHz) 分析: ?选择T0工作于定时、方式2、定时时间为500?s。TMOD=0000 0010B=02H ?计算初值 fosc=6MHz ?机器周期TM=2 ?s 要定时500 ?s 即要计数250个机器周期脉冲 ?初值=28-250=6=06H ORG 0000H LJMP MAIN ;转主程序 ORG 000BH ;T0中断服务程序入口地址 LJMP T0 ;转T0中断服务程序 ORG 0030H ;主程序 MAIN:MOV SP,#60H;设置堆栈指针 MOV TMOD,#02H ;T0工作于定时、方式2 MOV

文档评论(0)

cjp823 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:7060131150000004

1亿VIP精品文档

相关文档