EDA选择题(含答案).pdf

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
一、选择题: (20 分) 1. 大规模可编程器件主要有 FPGA、CPLD两类,下列对 CPLD结构与工作原理的描述中,正 确的是: ___D__ A. CPLD 是基于查找表结构的可编程逻辑器件 B. CPLD 即是现场可编程逻辑器件的英文简称 C. 早期的 CPLD是从 FPGA的结构扩展而来 D. 在 Xilinx 公司生产的器件中, XC9500 系列属 CPLD结构 2. 基于 VHDL 设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能 仿真这四种,按照自顶向下的设计流程,其先后顺序应该是: _________ D A.①②③④ B. ②①④③ C.④③②① D.②④③① 3. IP 核在 EDA技术和开发中具有十分重要的地位, IP 分软 IP 、固 IP 、硬 IP ;下列所描述 的 IP 核中,对于固 IP 的正确描述为: __________D A.提供用 VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路 B.提供设计的最总产品——模型库 C.以可执行文件的形式提交用户,完成了综合的功能块 D.都不是 4. 下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的: __________ B A.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计 B.原理图输入设计方法一般是一种自底向上的设计方法 C.原理图输入设计方法无法对电路进行功能描述 D.原理图输入设计方法不适合进行层次化设计 5. 在 VHDL语言中,下列对进程( PROCESS)语句的语句结构及语法规则的描述中,不正确 的是: _______ D A.PROCESS为一无限循环语句 B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C.当前进程中声明的变量不可用于其他进程 D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成 6. 对于信号和变量的说法,哪一个是不正确的: _________A A.信号用于作为进程中局部数据存储单元 B.变量的赋值是立即完成的 C.信号在整个结构体内的任何地方都能适用 D.变量和信号的赋值符号不一样 7. 下列状态机的状态编码, _________ 方式有“输出速度快、难以有效控制非法状态出现” 这个特点。 A A.状态位直接输出型编码 B.一位热码编码 C.顺序编码 D.格雷编码 8. VHDL语言共支持四种常用库,其中哪种库是用户的 VHDL设计现行工作库: _______ D A.IEEE 库 B.VITAL 库 C.STD库 D.WORK工作库 9. 下列 4 个 VHDL标识符中正确的是: _______ d A.10#128# B.16#E#E1 C.74HC124 D.X_16 10.下列语句中,不属于并行语句的是: _______ B A.进程语句 B.CASE语句 C.元件例化语句 D.WHEN…ELSE…语句 写出下列缩写的中文(或者英文)含义: 1. ASIC 专用集成电路 2. FPGA 现场可编程门阵列 3. IP 知识产权核(软件包) 4. JTAG 联合测试行动小组 HDL 硬件描述语言 1. 基于 EDA软件的 FPGA / CPLD 设计流程,以下流程中哪个是正确的: _______C______ A. 原理图 /HDL 文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试 B. 原理图 /HDL 文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试 C. 原理

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档