vhdl语言在电子设计自动化中的应用.pdf

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
浅议 VHDL 语言在电子设计自动化中的应用 【摘 要 】 随着电子技术和计算机技术的迅速发展,电子设 计也变得越来越复杂,并朝着自动化方向发展,且运用语言进行电 子设计成为了一种趋势,有效地缩短了开发的周期及效率,其中 vhdl 语言就是电子设计中常用的一种语言。本文就 vhdl 语言在电 子设计自动化中的应用进行了分析讨论。 【关键词 】 vhdl 语言;电子设计;自动化;应用 【 abstract 】 along with the electronic technology and the rapid development of computer technology, electronic design has become more and more complex, and toward the development of automation, and the use of language for electronic design has become a trend, effectively shorten the development cycle and efficiency, in which the vhdl language on the electronic design is commonly used in a language, this paper on the vhdl language in electronic design automation application is analyzed and discussed. 【 keywords 】 vhdl language; electronic design automation; application 0 引言 近些年,随着电子技术及计算机技术的不断发展,使用原来的方 法进行系统及芯片的设计已经不能满足要求了,需要具有更高效率 的设计方法,运用vhdl 语言进行电子设计就是在这种情况下开发 的,而且被越来越广泛地应用到电子设计自动化中,显著地提高了 开发效率及产品的可靠性。 1 电子设计自动化和vhdl 语言概述 1.1 电子设计自动化概述 电子设计自动化又称为eda 技术,它是在上世纪 70 年代的集成 电路技术茂盛发展下诞生的,与集成电路的复杂度是紧密相关的。 在第一代电子设计自动化 eda 中,其主要功能是进行图形编辑交互 及设计规则检查,所要解决的问题是进行 pcb 布局布线或者晶体管 级版图的设计;第二代电子自动化设计 eda 系统,主要包括逻辑图 的设计输入、逻辑综合、芯片布图、模拟验证及印刷电路的版布图 等,随着集成电路尺寸越来越小、规模越来越大、速度及频率越来 越高、设计越来越复杂,hdl 的设计方案应运而生,随后具有描述 语言的 vhdl 被提出来了。 1.2 vhdl 语言概述 vhdl 语言是指超高速集成电路的硬件描述语言,它是一种很快的 电路设计工具,其功能主要包括电路合成、电路描述及电路仿真等 电路设计工作。vhdl 语言是由抽象及具体硬件级别进行描述的工业 标准语言,它已经成为了一种通用硬件设计的交换媒介,很多工程 软件供应商已经把 vhdl 语言当做了 eda 或 cad 软件的输入/输出标 准,很多 eda 厂商还提供了 vhdl 语言编译器,同时在方针工工具、 布图工具及综合工具中对 vhdl 语言提供了支持。 2 vhdl 语言的特点及开发流程 2.1 vhdl 语言主要有几方面的特点。 一是 vhdl 语言具有较强的描述功能,能够对支持系统的行为级、 门级及寄存器传输级这三个层次进行设计,和其它硬件描述语言相 比,vhdl 语言的行为描述能力更强,这种较强的行为描述力能够有 效地避开具体器件结构,对大规模的电子系统的逻辑行为进行描述 与设计,vhdl 语言已经成为高层次设计中的核心,也是它成为了电 子设计系统领域最好的硬件语言描述。 二是 vhdl 语言具有较为丰富的模拟库函数及仿真语句,这使它 能够在任何设计系统中,很早地就能对设计系统功能中的可行性进 行查验,并随时可以对设计进行模拟仿真,将设计中的逻辑错误消 除在组装前,由于大规模集成电路及应用多层的印刷技术器件组装 完毕之后,很难进行修改,这就使得逻辑模拟变得不可缺少,运用 逻辑模拟还能够减少成本缩短调试及设计周期。对于中小规模

文档评论(0)

137****0427 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档