数字电子技术课程设计-彩灯控制器的设计.docxVIP

数字电子技术课程设计-彩灯控制器的设计.docx

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
彩灯控制器的设计 一、设计任务与要求 ( 1)以半导体数码管作为控制器的显示器, 它能自动地依次显示出数字 0、1、 2、3、4、5、6、7、8、 9(自然数列),1、3、5、7、9(奇数列), 0、 2、 4、 6、 8(偶数列)和 0、1、 2、 3、4、 5、6、7、0、1(音乐符号数列),然后又依次显 示出自然数列、奇数列、偶数列和音乐符号数列 ,, 如此周而复始,不断循环。 2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的 0,再显示出 1,然后按上述规律变化。 二、方案设计与论证 彩灯循环显示控制电路组成方框图如上图所示 , 脉冲时钟信号输出高低电平 , 通过四个计数器依次计数 , 再由译码器译码 , 通过数码管显示出自然序列、 奇数列、偶数列、音乐序列,序列循环的显示由计数器来控制 , 每一个序列循环完毕后 , 计数器会产生一个进位信号 , 该信号通过计数器进而使序列循环起来。 奇数列和偶数列的循环为自然序列和音乐序列的二分频,这样能做到每一次显示的时间相同。 要让四个数列依次循环则采用一个 2 线— 4 线译码器和一个四进制计数器。 用译码器的输出依次去控制芯片清零端 , 再通过一个四进制计数器去控制译码器输入, 使其在四个输出间不断循环 , 而计数器的时钟脉冲则可通过每个芯片的进位端 经过一四输入或门输出来控制。电路如图 这个部分主要用到的芯片是 74HC390计数器和 74HC139译码管 , 他们的功能表和引脚图分别如下图和表所示。 74HC390功能表 74HC139的功能表 74HC390的引脚图 74HC139 的引脚图 三、单元电路设计与参数计算 数列显示部分 这个部分是利用 74LS160D计数器来实现的。 根据数列不同的特点来连接电路的。电路图如图(以自然序列为例) 数列显示电路原理图 其中主要使用的是 74LS160D来实现的,其功能以及引脚图如下图所示。 74HC160引脚图 74HC139的功能表 脉冲信号的产生 选用多谐振荡器,它是一种在接通电源后,就能产生一定频率和一定幅值矩 形波的自激振荡器,常作为脉冲信号源。而选用的电路是 555 定时器构成的,因 555 定时器内部的比较器灵敏度较高,而且采用差分电路形式,用它组成的多谐振荡器的震荡频率收电源电压和温度变化的影响很小,这样使产生的矩形波更稳定。电路如图 脉冲信号产生电路图 数列循环电路的设计 在这个部分主要是应用了一个四进制的计数器和一个译码器,这个部分的作用是为了使自然序列,奇数序列,偶数序列,音乐序列的循环显示。其中四个74LS160计数器的进位端与 74HC390的 CPA相接,这样就可以通过进位端状态由 0 变为 1 的瞬间给它一个脉冲触发, 而另一个脉冲端则是与其输出端 QA相接的,这样的接法是为了使 74HC390实现 8421BCD码十进制计数的功能。然后再让 74HC390 的输出端 QA,QB分别与译码器 74HC194相接,这样可以用译码器来控制计数器的动作状态,它可以决定由哪个 74LS160计数器来工作。 当 QA,QB为“0”,“0”时,这时译码器的输出端就只有 Yo 为 0,接一个反相器然后再接产生自然序列的计数 器的清零端;这样就可以实现只有自然序列输出的功能,同理当 QA, QB为“ 0”,“1”时,这时译码器的输出端就只有 Y1 为 0,接一个反相器然后再接产生奇数 序列的计数器的清零端,这样就可以实现只有奇数序列输出的功能;当 QA, QB 为“ 1”,“ 0”时,这是译码器的输出端就只有 Y2 为 0,接一个反相器然后再接产生偶数序列的计数器的清零端, 这样就可以实现只有偶数序列输出的功能; 当 QA,QB为“1”,“1”时,这是译码器的输出端就只有 Y3为 0,接一个反相器然后再接产生音乐序列的计数器的清零端,这样就可以实现只有音乐序列输出的功能。其产生序列的功能就是这样实现的。其电路图如图 用译码器实现的循环电路 序列显示电路的设计 十进制自然序列的显示电路 由于 74HC160本身就是一个十进制技术的芯片,因此对于这个部分就需要按其功能表来接电路就可以实现十进制自然序列输出了。在脉冲信号的触发下,计 数器的输出端的状态依次为 0000→ 0001→ 0011→ 0100→ 0101→ 0110→ 0111→ 1000→1001,然后再将计数器的输出端和数码管的输入端口相接就可以在数码管上面看到依次显示从 0 到 9 了。其序列显示电路图如图 自然数列的显示电路图 奇数序列显示电路 将奇数 1,3,5,7,9 用 8421BCD码分别表示为:“ 0001”,“ 0011”,“0101”,“0111”,“1001”,可以发现最后一位都为 1,因此可以在上述

文档评论(0)

明若晓溪 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档