最新约翰逊计数器实验报告含截图及源代码.docxVIP

最新约翰逊计数器实验报告含截图及源代码.docx

  1. 1、本文档共15页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
精品 精品 - -可编辑- 西安邮电大学 (电子工程学院) VerilogHDL 实验报告 实验名称: 专业名称: 集成电路与集成系统 班 级: 电路1501 学生姓名: 张璐 学号(8位) 实验日期: 、功能描述 精品 精品 - - 可编辑 - 、设计方案 三、验证方案 四、设计代码 (一)约翰逊计数器 module count(reset,clk,out); input reset,clk; output out; reg [7:0] out; reg [23:0]c; reg b; always @(posedge clk) begin if(reset) c<=0; else begin c<=c+1 ' b1; b=c[23]; end end always @(posedge b) begin if(reset) out<=8'b0; else begin out[0]<=~out[7]; out[7:1]<=out[6:0]; end end endmodule // 激励文件 module sti; reg clk,reset; wire [7:0] out; count test(reset,clk,out); initial clk<=8'b0; always #10 clk<=~clk; initial begin reset<=1; #50 reset<=0; end Endmodule 二)串并变换电路 功能模块代码: module counter_mod_8(clock,r eset,Q); input clock; //posedge effective input reset; // negedge effective output [2:0] Q; reg [2:0] Q; always@(posedge clock or negedge reset) begin if(~reset) Q <= 3'd0; else Q <= Q + 1; end endmodule 串转并模块: module ser_to_par_8bit(ser_in,cl k,rst,out); input ser_in,clk,rst; output [7:0] out; wire [7:0] out; reg [7:0] par_out; wire [2:0] count; counter_mod_8 f1(.clock(clk),.reset(rst),.Q(count)); always@(posedge clk or negedge rst) begin if(~rst) par_out <= 8'b0000_0000; else begin par_out <= {par_out[6:0],ser_in}; end end assign out = (count == 7)? par_out : 8'b0000_0000; endmodule 精品 精品 - - 可编辑 - 测试模块代码: module test_ser_par; reg [7:0] data; wire data_in; reg clock, reset; wire [7:0] out; initial begin clock = 1'b0; reset = 1'b0; #3 reset = 1'b1; data 8'b1001_1101; #300 $stop; end always #5clock = ~clock; always@(posedge clock) data ={data[6:0],data[7]}; assign data_in = data[7]; ser_to_par_8bit a(.ser_in(data_in),.clk(clock),.rst(reset),.out(out)); initial $monitor($time,"reset= %b,data= %b,data_in= %b,out= b",reset,data,data_in,out); endmodule 五、仿真结果分析 (一)约翰逊计数器 精品 精品 - -可编辑- | F\ J3l±| 尘 1 申 占£社密王建玉寸I j * 十 * * 3? I *44 ■] (二)串并变换电路 _in= 耳r uuvuwuu iooiiioif<uc^ _ln? 1. 二 i_u oooooaoo 3011LCHTdiza i” 2t DUZ- oooooooo Mia? 片 □ Lit- OQOOQQVQ LLiaiiQCria? _la= lr jK'i 百 F ■ — Id ooaooaoa 11211C01fla^a ■

文档评论(0)

laugh + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档