基于fpga的异步串行通信毕业论文.docVIP

  1. 1、本文档共43页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于FPGA的异步串行通信毕业论文 ———————————————————————————————— 作者: ———————————————————————————————— 日期: 摘 要 为了适应全数字化自动控制更加广泛的应用,采用FPGA对UART进展多模块的系统设计的方法,使串口通信的集成度更高。对UART系统构造进展了多模块的分解。UART(通用异步收发器)是一种应用广泛的短距离串行传输接口,常用于短距离、低速、低本钱的通信中。本文采用Verilog语言设计了一个UART发送模块和接收模块,从而可实现FPGA和PC机的异步串行通。利用Altera公司的EP1C6Q240I8芯片,采用Altium Designer Summer 09画出原理图及PCB图且在QuartusII9.0环境下进展设计、编译、仿真。 关键字:UART,FPGA,Verilog,原理图,PCB ABSTRACT In order to meet the full digital automatic control is used more and more widely, a systematic design method of FPGA module of UART, the serial communication, a higher degree of integration. The structure of UART system is decomposed multi module. UART (Universal Asynchronous Receiver Transmitter) is a short distance serial transmission interface is a widely used, communication used in short distance, low speed, low cost. This paper describes the design of a UART transmitting module and a receiving module using Verilog language, which can be asynchronous serial FPGA and PC machine implementation. Using EP1C6Q240I8 chip of Altera company, 09 draw the schematic diagram and PCB diagram and design, compile, simulation in QuartusII9.0 environment using Altium Designer Summer. Key Words: UART,FPGA,Verilog,Schematic diagram,PCB 目 录 14678 第1章 引言 1 17001 课题任务 1 11398 课题要求 2 18530 研究意义 3 26535 第2章 设计方案 5 27895 硬件局部 5 16540 电源模块 5 13344 2.1.2复位电路 8 685 2. 10 32361 2. 13 27894 第3章 实现功能描述 16 29760 3.1实现功能描述 16 15620 3.2电路设计 16 127 3. 16 28583 3. 18 31894 3.2.3 PCB图设计 21 708 3.3 软件设计 25 32346 第4章 调试与实现 31 16182 4.1 调试中遇到的重点和难点 31 7945 4.2 解决方案 31 29830 4.3 实现展示 31 22093 第5章 总结 36 8721 参考文献 37 第1章 引言 课题任务 本课题任务是使用Verilog语言,写一个异步串行通信(UART)接口,并在FPGA上面仿真实现。 Verilog HDL是一种 硬件描述语言〔HDL:Hardware Description Language〕,以 文本形式来描述 数字系统硬件的构造和行为的语言,用它可以表示逻辑电路图、 逻辑表达式,还可以表示 数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中

文档评论(0)

phl805 + 关注
实名认证
文档贡献者

建筑从业资格证持证人

该用户很懒,什么也没介绍

领域认证该用户于2023年05月12日上传了建筑从业资格证

1亿VIP精品文档

相关文档