- 1、本文档共3页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
1.一位全加器实验报告
实验目的要求
学习计数器的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。设计程序独立完成全加器的仿真。全加器由两个半加器组合而成,原理类似。半加器不考虑低位进位,但有高位进位;全加器要考虑低位的进位且该进位和求和的二进制相加,可能获得更高的进位。
设计方法与原理图
图1是一个一位二进制全加器电路图,由图1所示,由两个半加器和一个或门构成一个一位二进制全加器;ain,bin为全加器的输入端,cin为全加器的低位进位,sum是全加器的全加和,cout是全加器的全加进位端;从而实现一位二进制全加器。
(图1)一位二进制全加器原理图
实验内容
按照教材上的步骤,在max plus II上进行编辑、编译、综合、适配、仿真。说明例中各语句的作用,详细描述示例的功能特点,给出其所有信号的时序仿真波形。
四、源程序
library ieee;
use ieee.std_logic_1164.all;
entity full_adder is
port(a,b,cin:in std_logic;
cout,sum:out std_logic);
end entity full_adder;
architecture fd1 of full_adder is
component h_adder
port(a,b:in std_logic;
co,so:out std_logic);
end component;
component or2a
port(a,b:in std_logic;
c:out std_logic);
end component;
signal d,e,f:std_logic;
begin
u1:h_adder port map(a=ain,b=bin,co=d,so=e);
u2:h_adder port map(a=e,b=cin,co=f,so=sum);
u3:or2a port map(a=d,b=f,c=cout);
end fd1;
五 过程性截图
六、仿真结果
(图2)一位二进制全加器仿真结果
七、分析结果与总结
由图2,本实验的目标已达成,及通过编写VHDL语言实现一个一位二进制全加器。通过图2可知,对输入量a, b, cin三者来说可以通过b的频率为cin的两倍,a的频率为b的两倍来实现输入信号。而输出波形则完全符合真值表的理论值,即s的输出为m(1,2,4,7),cout的输出为m(3,5,6,7)。
由于本实验是给定源代码,也就是说本次实验只是一个简单的验证实验,只是让我们熟悉max plus II的操作环境与这个软件的使用方法。在实验中,还出现过这样的问题:输出波形出现很多毛刺,比对输入也有延迟。后来知道这只是因为max plus II原本就是按照元器件的真实特性进行的仿真,如果想要得到如图2一般的理想输出波形,只需在使用波形输出工具时选择理想波形再进行编译就可以了。
您可能关注的文档
- 学校校长2023年度生活会个人对照检查材料.doc
- 电子线路CAD半自动方式设计PCB、制作PCB元件封装图实验报告.doc
- 少儿口才小主持人7、金喇叭少儿口才提高版第七课教案课件.ppt
- 电磁场实验报告.doc
- 《移动UI设计》实验报告1.doc
- 新人教版高中数学必修一《1 1.4 充分条件与必要条件》课件.ppt
- 1 摄影实验报告.doc
- 6 《狼牙山五壮士》公开课教学设计.doc
- 嵌入式操作系统跑马灯实验报告.doc
- Java网络编程实验一到四答案.doc
- 有机肥料及微生物肥料相关项目投资计划书范文 .pdf
- 医院消防维保服务投标方案(技术方案).doc
- 宣传视频制作技术服务方案(技术方案).doc
- 2024-2025学年江苏省南通市如皋市八年级(上)期末考试数学试卷(含答案) .pdf
- 主体劳务工程 投标方案(技术方案).doc
- 校外教育杯征文 浅谈如何做好小学班主任工作 .pdf
- 人教版九年级全册 Unit 5 What are the shirts made of ?Section B 2a-2e 分层练习(含答案).doc
- 人教版九年级全册 Unit 5 What are the shirts made of? Section B 3a-Self Check 分层练习(含答案).doc
- 人教版九年级全册Unit 6 When was it invented Section A 1a-2d练习(含答案).docx
- 市场营销学》试卷(答案).docx
文档评论(0)