74ls160实验报告完整版.docVIP

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数字电路 实 验 报 告 专业: 物理教育 年级: 2012级 姓名: 周咏梅 学号: 指导教师: 杨阔 阿坝师专电子信息工程系 实验 74LS160组成n进制计数器 一、实验目的 1.掌握集成计数器的功能测试及应用 2.用异步清零端设计6进制计数器,显示选用数码管完成。 3.用同步置零设计7进制计数器,显示选用数码管完成。 二、 实验原理 CLR:异步清零端 CLK:时钟输入端(上升沿有效) D:数据输入端 ENP,ENT:计数控制端 LOAD:同步并行置入控制端 RCO:进位输出端 ???? ① 异步清零 ???? 当(CLR’)=0时,不管其他输入端的状态如何(包括时钟信号CP ),计数器输出将被直接置零,称为异步清零。 ???? ② 同步并行预置数 在=1的条件下,当(LOAD’)=0、且有时钟脉冲CP 的上升沿作用时,D0、D1、D2、D3 输入端的数据将分别被Q0~Q3所接收。由于这个置数操作要与CP 上升沿同步,且D0、D1、D2、D3的数据同时置入计数器,所以称为同步并行置数。 ③ 保持 ????在==1的条件下,当ENT=ENP=0,即两个计数使能端中有0时,不管有无CP 脉冲作用,计数器都将保持原有状态不变(停止计数)。需要说明的是,当ENP=0, ENT=1时,进位输出C也保持不变;而当ENT=0时,不管ENP状态如何,进位输出RCO=0。 ???? ④ 计数 ????当==ENP=ENT=1时,74161处于计数状态,电路从0000状态开始,连续输入16个计数脉冲后,电路将从1111状态返回到0000状态,RCO端从高电平跳变至低电平。可以利用RCO端输出的高电平或下降沿作为进位输出信号。 表1 74161的功能表 输入 输出 CP P T D0 D1 D2 D3 Q0 Q1 Q2 Q3 × 0 × × × × × × × 0 0 0 0 ↑ 1 0 × × a b c d a b c d × 1 1 0 1 × × × × 保持 × 1 1 × 0 × × × × 保持(C=0) ↑ 1 1 1 1 × × × × 计数 三、实验内容 连上十进制加法计数器160,电路如图1所示,给2管脚加矩形波,看数码管显示结果,并记录显示结果。 根据实验原理连出如图所示的电路图。 图3 同步清零端设计计数器 五、实验总结与体会 在本次实验中,了解了74ls160的功能和原理。 并且能运用它组成任意进制数

文档评论(0)

浅唱愁淡 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档