《两只老虎》音乐播放器设计.doc

  1. 1、本文档共32页,其中可免费阅读10页,需付费170金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

PAGE

PAGE1

摘要

如果我们使用纯硬件的电路方法来设计一个音乐播放系统,其设计方法会十分复杂。而且传统的电路设计,即使是很简单的电路,这也是很难实现的。但是现在我们有了强大的EDA工具和硬件描述语言,就能够更轻松的完成整个电路系统的设计。本次设计是利用可编程逻辑器件(FPGA)设计一个控制系统来驱动蜂鸣器发出声音,并最终完成音乐的播放。

本次设计是以《两只老虎》这首耳熟能详的儿歌作为分析案例。首先进行了硬件电路系统发声原理的分析,随后探讨分析了该方案的可行性,利用VerilogHDL语言在quartur||上编写了节拍发生器,分频器,顺序控制器和音高控制器等模块的代码

文档评论(0)

海上文化 + 关注
实名认证
内容提供者

各种文档资料分享,有特别需要可以留言

1亿VIP精品文档

相关文档