modelsim仿真详细过程.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

.

.

精品

精品

.

精品

由于我们只需要了解仿真的完整过程,所以不需要自己写源文件和测试文件(也称为testbench)。一下就是简单的源文件和测试文件(亲自测试过)。

//源文件

modulecompare(equal,a,b);

inputa,b;

outputequal;

assignequal=(a==b)?1:0;

endmodule

//测试文件

`timescale1ns/1ns

`include./compare.v

modulecomparetest;

rega,b;

wireequal;

initial

begin

a=0;

b=0;

#100a=0;b=1;

#100a=1;b=1;

.

.

精品

精品

.

精品

#100a=1;b=0;

#100$stop;

end

comparecompare1(.equal(equal),.a(a),.b(b));

endmodule

有了源文件和测试文件下面就开始用modelsim进行仿真了。

步骤一:新建工程和.v文件(也就是源文件和测试文件)

打开modelsim软件,点击file,选择new—project然后就会弹出下面窗口:

然后在projectname那一栏写上工程名(随便去,一般是字母),在projectlocation选择工程路径(路径最好没有中文,听说的),然后点OK。进入下个界面:

.

.

精品

精品

.

精品

然后点击小框里面的“createnewfile”.弹出界面:

在filename中写下源文件名,由于这是比较两数的大小,我取为:compare。在“addfileastype”中选择verilog,点OK,然后有:

.

.

精品

精品

.

精品

双击compare.v文件会弹出编译窗口:

复制上面源文件代码,粘贴到上图右边窗口里面。

.

.

精品

精品

.

精品

然后点击保存图标,然后再新建测试文件。点击下图的新建文件图标。过程如同上。你会看见下图的两个.v文件。

步骤二:编译文件

在添加文件在已建的工程后,两个文件的status都是问号,编译后就变成勾。具体步骤为:

点击compileall图标就可以了。

.

.

精品

精品

.

精品

步骤三:仿真,添加波形

选中compare.v文件,点击仿真图标如下图:

接着会弹出界面:

.

.

精品

精品

.

精品

选中comparetest,点击OK,有:

选中compare1右击鼠标,选择add,继续选择ToWave.,我用的是10.0a版本,还要选择allitemsinregion.然后就有界面:

.

.

精品

精品

.

精品

点击run图标就会出现仿真波形。

如有侵权请联系告知删除,感谢你们的配合!

文档评论(0)

润哈哈 + 关注
官方认证
内容提供者

该用户很懒,什么也没介绍

认证主体广州乾星科技有限公司
IP属地广东
统一社会信用代码/组织机构代码
91440101MA5B6X8T7Y

1亿VIP精品文档

相关文档