eda课程设计数字电子钟课程设计.docx

eda课程设计数字电子钟课程设计.docx

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共36页,其中可免费阅读11页,需付费120金币后方可阅读剩余内容。
  2. 2、本文档内容版权归属内容提供方,所产生的收益全部归内容提供方所有。如果您对本文有版权争议,可选择认领,认领后既往收益都归您。
  3. 3、本文档由用户上传,本站不保证质量和数量令人满意,可能有诸多瑕疵,付费之前,请仔细先通过免费阅读内容等途径辨别内容交易风险。如存在严重挂羊头卖狗肉之情形,可联系本站下载客服投诉处理。
  4. 4、文档侵权举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

EDA技术

课程设计报告

课题 数字时钟

姓名

学号

专业班级指导教师时间

\*ROMAN

\*ROMANII

课程设计任务书

班 级 姓 名

题目 数字电子钟设计

技术

数检 参 使用VHDL设计数字电子钟,要求如下:

数测 、 1.以二十四时制计时,显示小时、分。

据计设 2.可以进展时间设置,通过一个按键切换分、时的设置。

等 要 3.具有闹钟功能,可设定闹铃时间,闹铃可通过驱动LED代替实现

计 2023.1.4~202

文档评论(0)

写作定制、方案定制 + 关注
官方认证
服务提供商

专注地铁、铁路、市政领域安全管理资料的定制、修改及润色,本人已有7年专业领域工作经验,可承接安全方案、安全培训、安全交底、贯标外审、公路一级达标审核及安全生产许可证延期资料编制等工作,欢迎大家咨询~

认证主体天津济桓信息咨询有限公司
IP属地天津
统一社会信用代码/组织机构代码
91120102MADGE3QQ8D

1亿VIP精品文档

相关文档