北邮数电上实验报告.pdfVIP

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多

北京邮电大学电子工程学院

北京邮电大学

数字电路与逻辑设计实验

学院:

班级:

姓名:

学号:

班内序号:

1

北京邮电大学电子工程学院

实验一QuartusII原理图输入法设计

一、实验目的:

(1)熟悉QuartusII原理图输入法进行电路设计和仿真。

(2)掌握QuartusII图形模块单元的生成与调

(3)熟悉实验板的使用

二、实验所用器材:

(1)计算机

(2)直流稳压电源

(3)数字系统与逻辑设计实验开发板

三、实验任务要求

(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的

半加器图形模块单元。

(2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿

真验证其功能,并下载到实验板测试,要求用拨码开关设定输入

信号,发光二极管显示输出信号。

(3)用VHDL语言实现全加器。

四、实验原理图和实验波形图

1、全加器实验原理图。

2

北京邮电大学电子工程学院

2、全加器实验波形图。

五、仿真波形分析

由仿真波形可以看出,当a,b,ci有两个或者两个以上为1时,产

生进位,即co输出为1,而输出s则是当a,b,ci输入偶数个1时

为0,奇数个1时为1,满足实验原理,仿真波形正确。

3

北京邮电大学电子工程学院

实验三VHDL组合逻辑电路设计

一、实验目的:

(1)熟悉QuartusII原理图输入法进行电路设计和仿真。

(2)掌握QuartusII图形模块单元的生成与调

(3)熟悉实验板的使用

二、实验所用器材:

(1)计算机

(2)直流稳压电源

(3)数字系统与逻辑设计实验开发板

三、实验任务要求

(1)用VHDL语言设计将8421计数器,分频器和数码管译码器连

接使用,实现在指定数码管滚动显示0-9,其余数码管不亮,并

带有清零功能,并下载到实验板显示计数结果。

四、实验VHDL代码和仿真波形图

(1)VHDL代码

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_unsigned.all;

entityxianshiis

port(

clk,clr:instd_logic;

4

北京邮电大学电子工程学院

b:outstd_logic_vector(6downto0);

cat:outstd_logic_vector(5downto0));

endxianshi;

architectureaofxianshiis

signalctmp:std_logic_vector(3downto0);

signaltmp:integerrange0to1249999;

signalclktmp:std_logic;

signale:std_logic_vector(6downto0);

begin

p1:process(clk,clr)

begin

ifclr=0then

tmp=0;

elsifclkeventandclk=1then

iftmp=1249999then

tmp=0;clktmp=notclktmp;

else

tmp=tmp+1;

endif;

endif;

endprocessp1;

5

北京邮电大学电子工程学院

p2:process(clktmp)

beginbegin

elsif(clktmpeventandcl

文档评论(0)

***** + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档