GAL实验指导g_原创文档.pdf

  1. 1、本文档共12页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多

实验一通用阵列逻辑GAL实现基本门电路的设计

一、实验目的

1.了解GAL22V10的结构及其应用;

2.掌握GAL器件的设计原则和一般格式;

3.学会使用VHDL语言进行可编程逻辑器件的逻辑设计;

4.掌握通用阵列逻辑GAL的编程、下载、验证功能的全部过程。

二、实验原理

1.通用阵列逻辑GAL22V10

通用阵列逻辑GAL是由可编程的与阵列、固定(不可编程)的或阵列和输出逻辑宏单元

(OLMC)三部分构成。GAL芯片必须借助GAL的开发软件和硬件,对其编程写入后,才能

使GAL芯片具有预期的逻辑功能。

GAL22V10有10个I/O口、12个输入口、10个寄存器单元,

最高频率为超过100MHz。

ispGAL22V10器件就是把流行的GAL22V10与ISP技术结合起来,在功能和结构上与

GAL22V10完全相同,并沿用了GAL22V10器件的标准28脚PLCC封装。ispGAl22V10的传

输时延低于7.5ns,系统速度高达100MHz以上,因而非常适用于高速图形处理和高速总线管

理。由于它每个输出单元平均能够容纳12个乘积项,最多的单元可达16个乘积项,因而更

为适用大型状态机、状态控制及数据处理、通讯工程、测量仪器等领域。ispGAL22V10的功

能框图及引脚图分别见图1-1和1-2所示。

另外,采用ispGAL22V10来实现诸如地址译码器之类的基本逻辑功能是非常容易的。为

实现在系统编程,每片ispGAL22V10需要有四个在系统编程引脚,它们是串行数据输入(SDI),

方式选择(MODE)、串行输出(SDO)和串行时钟(SCLK)。这四个ISP控制信号巧妙地利用28

脚PLCC封装GAL22V10的四个空脚,从而使得两种器件的引脚相互兼容。在系统编程电源

为+5V,无需外接编程高压。每片ispGAL22V10可以保证一万次在系统编程。

ispGAL22V10的内部结构图如图1-3所示。

2.编译、下载源文件

用VHDL语言编写的源程序,是不能直接对芯片编程下载的,必须经过计算机软件对其

进行编译,综合等最终形成PLD器件的熔断丝文件(通常叫做JEDEC文件,简称为JED文件)。

通过相应的软件及编程电缆再将JED数据文件写入到GAL芯片,这样GAL芯片就具有用户

所需要的逻辑功能。

1

图1-1ispGAL22V10功能框图

图1-2ispGAL22V10引脚图

2

(a)ispGAL22V10结构图

3

GAL实验流程图

建立工程

设计输入源程序

编译源程序

建立测试波形及仿真

图1-1ispLEVER设计流程图

器件编程下载并验证

验证通过结束工程

图1-4ispLEVER设计流程图

三、实验仪器、设备与器件

1.计算机

2.实验仪

3.软件系统

4.通用阵列逻辑器件ispGAL22V10C

四、实验内容与操作步骤

(1)用GAL22V10实现基本逻辑门电路。

①根据图1-5示电路,设定GAL22V10芯片的各输

文档评论(0)

***** + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档